Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c29f' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7573206-fedora-40-ppc64le --chroot fedora-40-ppc64le Version: 0.73 PID: 43411 Logging PID: 43412 Task: {'allow_user_ssh': False, 'appstream': False, 'background': True, 'build_id': 7573206, 'buildroot_pkgs': [], 'chroot': 'fedora-40-ppc64le', 'enable_net': False, 'fedora_review': False, 'git_hash': '31a8c5a153c47111eb858ffda58fb173b091b160', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1-41.20240524gitb7f91e5', 'project_dirname': 'openblas-0.3.23', 'project_name': 'openblas-0.3.23', 'project_owner': 'psimovec', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/psimovec/openblas-0.3.23/fedora-40-ppc64le/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'http://kojipkgs.fedoraproject.org/repos/rawhide/latest/$basearch/', 'id': 'http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch', 'name': 'Additional repo http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch'}], 'sandbox': 'psimovec/openblas-0.3.23--https://src.fedoraproject.org/user/churchyard', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'https://src.fedoraproject.org/user/churchyard', 'tags': [], 'task_id': '7573206-fedora-40-ppc64le', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr'... Running: git checkout 31a8c5a153c47111eb858ffda58fb173b091b160 -- cmd: ['git', 'checkout', '31a8c5a153c47111eb858ffda58fb173b091b160', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr rc: 0 stdout: stderr: Note: switching to '31a8c5a153c47111eb858ffda58fb173b091b160'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 31a8c5a automatic import of nextpnr Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading nextpnr-b7f91e5.tar.gz INFO: Reading stdout from command: curl --help all /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 4615k 100 4615k 0 0 32.2M 0 --:--:-- --:--:-- --:--:-- 32.4M INFO: Reading stdout from command: md5sum nextpnr-b7f91e5.tar.gz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717895875.548494 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717895875.548494 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr/nextpnr.spec) Config(fedora-40-ppc64le) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-ppc64le-bootstrap-1717895875.548494/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:40 INFO: Pulling image: registry.fedoraproject.org/fedora:40 INFO: Copy content of container registry.fedoraproject.org/fedora:40 to /var/lib/mock/fedora-40-ppc64le-bootstrap-1717895875.548494/root INFO: Checking that registry.fedoraproject.org/fedora:40 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:40 with podman image mount INFO: image registry.fedoraproject.org/fedora:40 as /var/lib/containers/storage/overlay/ac7b45a24695f48b46c7785a048d2f122cba51e1b1bacc1787758529db33cd52/merged INFO: umounting image registry.fedoraproject.org/fedora:40 (/var/lib/containers/storage/overlay/ac7b45a24695f48b46c7785a048d2f122cba51e1b1bacc1787758529db33cd52/merged) with podman image umount INFO: Using 'dnf' instead of 'dnf5' for bootstrap chroot INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 9.3 MB/s | 3.0 MB 00:00 Additional repo http_kojipkgs_fedoraproject_org 20 MB/s | 17 MB 00:00 fedora 4.9 MB/s | 18 MB 00:03 updates 3.8 MB/s | 6.5 MB 00:01 Last metadata expiration check: 0:00:01 ago on Sun Jun 9 01:18:15 2024. Dependencies resolved. ============================================================================================================ Package Arch Version Repository Size ============================================================================================================ Installing: dnf5 ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 685 k replacing dnf.noarch 4.19.2-1.fc40 replacing yum.noarch 4.19.2-1.fc40 dnf5-plugins ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 357 k Upgrading: dnf-data noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k python3-dnf noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580 k Installing dependencies: fmt ppc64le 10.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 147 k libdnf5 ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M libdnf5-cli ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 313 k sdbus-cpp ppc64le 1.5.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 109 k Transaction Summary ============================================================================================================ Install 6 Packages Upgrade 2 Packages Total download size: 3.2 M Downloading Packages: (1/8): fmt-10.2.1-4.fc41.ppc64le.rpm 1.4 MB/s | 147 kB 00:00 (2/8): dnf5-5.2.3.0-1.fc41.ppc64le.rpm 6.0 MB/s | 685 kB 00:00 (3/8): dnf5-plugins-5.2.3.0-1.fc41.ppc64le.rpm 3.1 MB/s | 357 kB 00:00 (4/8): libdnf5-cli-5.2.3.0-1.fc41.ppc64le.rpm 13 MB/s | 313 kB 00:00 (5/8): libdnf5-5.2.3.0-1.fc41.ppc64le.rpm 25 MB/s | 1.0 MB 00:00 (6/8): sdbus-cpp-1.5.0-2.fc41.ppc64le.rpm 3.4 MB/s | 109 kB 00:00 (7/8): dnf-data-4.20.0-1.fc41.noarch.rpm 1.8 MB/s | 39 kB 00:00 (8/8): python3-dnf-4.20.0-1.fc41.noarch.rpm 21 MB/s | 580 kB 00:00 -------------------------------------------------------------------------------- Total 18 MB/s | 3.2 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : fmt-10.2.1-4.fc41.ppc64le 1/12 Installing : libdnf5-5.2.3.0-1.fc41.ppc64le 2/12 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : libdnf5-cli-5.2.3.0-1.fc41.ppc64le 3/12 Installing : sdbus-cpp-1.5.0-2.fc41.ppc64le 4/12 Installing : dnf5-5.2.3.0-1.fc41.ppc64le 5/12 Upgrading : dnf-data-4.20.0-1.fc41.noarch 6/12 Upgrading : python3-dnf-4.20.0-1.fc41.noarch 7/12 Installing : dnf5-plugins-5.2.3.0-1.fc41.ppc64le 8/12 Obsoleting : yum-4.19.2-1.fc40.noarch 9/12 Running scriptlet: dnf-4.19.2-1.fc40.noarch 10/12 Obsoleting : dnf-4.19.2-1.fc40.noarch 10/12 warning: directory /var/cache/dnf: remove failed: Device or resource busy Running scriptlet: dnf-4.19.2-1.fc40.noarch 10/12 Cleanup : python3-dnf-4.19.2-1.fc40.noarch 11/12 Cleanup : dnf-data-4.19.2-1.fc40.noarch 12/12 Running scriptlet: dnf-data-4.19.2-1.fc40.noarch 12/12 Upgraded: dnf-data-4.20.0-1.fc41.noarch python3-dnf-4.20.0-1.fc41.noarch Installed: dnf5-5.2.3.0-1.fc41.ppc64le dnf5-plugins-5.2.3.0-1.fc41.ppc64le fmt-10.2.1-4.fc41.ppc64le libdnf5-5.2.3.0-1.fc41.ppc64le libdnf5-cli-5.2.3.0-1.fc41.ppc64le sdbus-cpp-1.5.0-2.fc41.ppc64le Complete! INFO: Switching package manager from dnf to the dnf5 (direct choice) Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-ppc64le-1717895875.548494/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.ppc64le rpm-sequoia-1.6.0-3.fc40.ppc64le python3-dnf-4.20.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.ppc64le dnf5-plugins-5.2.3.0-1.fc41.ppc64le Start: installing minimal buildroot with dnf5 Updating and loading repositories: updates 100% | 252.6 KiB/s | 6.6 KiB | 00m00s fedora 100% | 224.8 KiB/s | 6.1 KiB | 00m00s Copr repository 100% | 40.5 KiB/s | 1.5 KiB | 00m00s Additional repo http_kojipkgs_fedorapr 100% | 52.2 KiB/s | 4.1 KiB | 00m00s Copr repository 100% | 11.7 MiB/s | 3.0 MiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash ppc64le 5.2.26-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.7 MiB bzip2 ppc64le 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 427.5 KiB coreutils ppc64le 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.4 MiB cpio ppc64le 2.15-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB diffutils ppc64le 3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB fedora-release-common noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.2 KiB findutils ppc64le 1:4.10.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB gawk ppc64le 5.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.5 MiB glibc-minimal-langpack ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B grep ppc64le 3.11-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB gzip ppc64le 1.13-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 552.8 KiB info ppc64le 7.1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 677.5 KiB patch ppc64le 2.7.6-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 390.5 KiB redhat-rpm-config noarch 292-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 183.5 KiB rpm-build ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB sed ppc64le 4.9-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB shadow-utils ppc64le 2:4.15.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.4 MiB tar ppc64le 2:1.35-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.2 MiB unzip ppc64le 6.0-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 854.4 KiB util-linux ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.8 MiB which ppc64le 2.21-41.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 248.0 KiB xz ppc64le 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB Installing dependencies: add-determinism-nopython ppc64le 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB alternatives ppc64le 1.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 218.2 KiB ansible-srpm-macros noarch 1-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.7 KiB audit-libs ppc64le 4.0.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 675.2 KiB authselect ppc64le 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 309.4 KiB authselect-libs ppc64le 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 931.7 KiB basesystem noarch 11-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B binutils ppc64le 2.42.50-14.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.1 MiB build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 769.0 B bzip2-libs ppc64le 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200.6 KiB ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB coreutils-common ppc64le 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.2 MiB cracklib ppc64le 2.9.11-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 934.2 KiB crypto-policies noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120.0 KiB curl ppc64le 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 871.7 KiB cyrus-sasl-lib ppc64le 2.1.28-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.5 MiB debugedit ppc64le 5.0-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 499.1 KiB dwz ppc64le 0.15-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 450.8 KiB ed ppc64le 1.20.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 282.7 KiB efi-srpm-macros noarch 5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.1 KiB elfutils ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.3 MiB elfutils-debuginfod-client ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 396.5 KiB elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 KiB elfutils-libelf ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB elfutils-libs ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB fedora-gpg-keys noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124.7 KiB fedora-release noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B fedora-release-identity-basic noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 694.0 B fedora-repos noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.9 KiB fedora-repos-rawhide noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 KiB file ppc64le 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 267.4 KiB file-libs ppc64le 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.1 MiB filesystem ppc64le 3.18-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 106.0 B fonts-srpm-macros noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.3 KiB forge-srpm-macros noarch 0.3.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.0 B gdb-minimal ppc64le 14.2-11.fc41 copr_base 13.8 MiB gdbm ppc64le 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 928.3 KiB gdbm-libs ppc64le 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 425.5 KiB ghc-srpm-macros noarch 1.9.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 747.0 B glibc ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.3 MiB glibc-common ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 MiB glibc-gconv-extra ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48.9 MiB gmp ppc64le 1:6.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 850.3 KiB gnat-srpm-macros noarch 6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 KiB go-srpm-macros noarch 3.6.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 60.8 KiB jansson ppc64le 2.13.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 220.3 KiB kernel-srpm-macros noarch 1.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 KiB keyutils-libs ppc64le 1.6.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 226.1 KiB krb5-libs ppc64le 1.21.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.8 MiB libacl ppc64le 2.3.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.7 KiB libarchive ppc64le 3.7.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB libattr ppc64le 2.5.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.2 KiB libblkid ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 482.6 KiB libbrotli ppc64le 1.1.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB libcap ppc64le 2.70-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB libcap-ng ppc64le 0.8.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 416.5 KiB libcom_err ppc64le 1.47.0-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 239.1 KiB libcurl ppc64le 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB libeconf ppc64le 0.6.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 206.0 KiB libevent ppc64le 2.1.12-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB libfdisk ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 547.0 KiB libffi ppc64le 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 218.0 KiB libgcc ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 350.4 KiB libgomp ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 695.3 KiB libidn2 ppc64le 2.3.7-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 456.8 KiB libmount ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 548.0 KiB libnghttp2 ppc64le 1.62.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262.1 KiB libnsl2 ppc64le 2.0.1-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 221.8 KiB libpkgconf ppc64le 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.0 KiB libpsl ppc64le 0.21.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.2 KiB libpwquality ppc64le 1.4.5-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB librtas ppc64le 2.0.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 497.6 KiB libselinux ppc64le 3.6-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 329.1 KiB libsemanage ppc64le 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 489.5 KiB libsepol ppc64le 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libsmartcols ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 353.5 KiB libssh ppc64le 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 709.4 KiB libssh-config noarch 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 277.0 B libstdc++ ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.8 MiB libtasn1 ppc64le 4.19.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 347.4 KiB libtirpc ppc64le 1.3.4-1.rc3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 338.8 KiB libtool-ltdl ppc64le 2.4.7-11.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 222.1 KiB libunistring ppc64le 1.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB libutempter ppc64le 1.2.1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 417.5 KiB libuuid ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.3 KiB libverto ppc64le 0.3.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.2 KiB libxcrypt ppc64le 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 398.6 KiB libxml2 ppc64le 2.12.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 MiB libzstd ppc64le 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 988.0 KiB lua-libs ppc64le 5.4.6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 456.9 KiB lua-srpm-macros noarch 1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 KiB lz4-libs ppc64le 1.9.4-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 325.1 KiB mpfr ppc64le 4.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 976.9 KiB ncurses-base noarch 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 326.2 KiB ncurses-libs ppc64le 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB ocaml-srpm-macros noarch 10-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 KiB openblas-srpm-macros noarch 2-17.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112.0 B openldap ppc64le 2.6.8-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB openssl-libs ppc64le 1:3.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.6 MiB p11-kit ppc64le 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB p11-kit-trust ppc64le 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 655.4 KiB package-notes-srpm-macros noarch 0.5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 KiB pam ppc64le 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.0 MiB pam-libs ppc64le 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 606.5 KiB pcre2 ppc64le 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 968.9 KiB pcre2-syntax noarch 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 249.0 KiB perl-srpm-macros noarch 1-53.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 861.0 B pkgconf ppc64le 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 238.7 KiB pkgconf-m4 noarch 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.9 KiB pkgconf-pkg-config ppc64le 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 990.0 B popt ppc64le 1.19-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 272.8 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67.5 KiB pyproject-srpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 KiB python-srpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50.5 KiB qt5-srpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 500.0 B qt6-srpm-macros noarch 6.7.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 456.0 B readline ppc64le 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 817.1 KiB rpm ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 MiB rpm-build-libs ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 326.6 KiB rpm-libs ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB rpm-sequoia ppc64le 1.6.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB rust-srpm-macros noarch 26.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 KiB setup noarch 2.15.0-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 720.7 KiB sqlite-libs ppc64le 3.46.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB systemd-libs ppc64le 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 MiB util-linux-core ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 MiB xxhash-libs ppc64le 0.8.2-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 211.9 KiB xz-libs ppc64le 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 329.5 KiB zig-srpm-macros noarch 1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 KiB zip ppc64le 3.0-40.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB zlib-ng-compat ppc64le 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 261.7 KiB zstd ppc64le 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 155 packages Total size of inbound packages is 56 MiB. Need to download 0 B. After this operation 325 MiB will be used (install 325 MiB, remove 0 B). [ 1/155] tar-2:1.35-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 2/155] bzip2-0:1.0.8-18.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/155] redhat-rpm-config-0:292-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/155] rpm-build-0:4.19.91-8.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/155] unzip-0:6.0-63.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/155] cpio-0:2.15-1.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/155] which-0:2.21-41.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/155] bash-0:5.2.26-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/155] coreutils-0:9.5-2.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 10/155] grep-0:3.11-8.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 11/155] patch-0:2.7.6-24.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 12/155] sed-0:4.9-1.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 13/155] shadow-utils-2:4.15.1-5.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 14/155] util-linux-0:2.40.1-1.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 15/155] diffutils-0:3.10-5.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 16/155] fedora-release-common-0:41-0. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 17/155] findutils-1:4.10.0-2.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 18/155] gawk-0:5.3.0-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 19/155] glibc-minimal-langpack-0:2.39 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 20/155] gzip-0:1.13-1.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 21/155] info-0:7.1-2.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 22/155] xz-1:5.4.6-3.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 23/155] rpm-0:4.19.91-8.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 24/155] coreutils-common-0:9.5-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 25/155] libblkid-0:2.40.1-1.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 26/155] libfdisk-0:2.40.1-1.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 27/155] libmount-0:2.40.1-1.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 28/155] libsmartcols-0:2.40.1-1.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 29/155] libuuid-0:2.40.1-1.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 30/155] util-linux-core-0:2.40.1-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/155] fedora-repos-0:41-0.2.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/155] glibc-0:2.39.9000-18.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/155] glibc-common-0:2.39.9000-18.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/155] xz-libs-1:5.4.6-3.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/155] fedora-gpg-keys-0:41-0.2.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/155] fedora-repos-rawhide-0:41-0.2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/155] glibc-gconv-extra-0:2.39.9000 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/155] rpm-libs-0:4.19.91-8.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/155] rpm-build-libs-0:4.19.91-8.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/155] systemd-libs-0:256~rc4-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/155] libselinux-0:3.6-4.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/155] libxcrypt-0:4.4.36-5.fc40.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/155] ncurses-libs-0:6.4-12.2024012 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/155] pam-0:1.6.1-3.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/155] pam-libs-0:1.6.1-3.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/155] audit-libs-0:4.0.1-2.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/155] authselect-libs-0:1.5.0-5.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/155] authselect-0:1.5.0-5.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/155] libcap-ng-0:0.8.5-1.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/155] librtas-0:2.0.6-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/155] libutempter-0:1.2.1-13.fc40.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/155] readline-0:8.2-8.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/155] zlib-ng-compat-0:2.1.6-6.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/155] bzip2-libs-0:1.0.8-18.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/155] libacl-0:2.3.2-1.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/155] libcap-0:2.70-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/155] libgcc-0:14.1.1-5.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/155] libeconf-0:0.6.2-2.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/155] libattr-0:2.5.2-3.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/155] libsemanage-0:3.6-3.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/155] setup-0:2.15.0-4.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/155] libzstd-0:1.5.6-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/155] rpm-sequoia-0:1.6.0-3.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/155] lua-libs-0:5.4.6-5.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/155] popt-0:1.19-6.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/155] sqlite-libs-0:3.46.0-1.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/155] libgomp-0:14.1.1-5.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/155] elfutils-libelf-0:0.191-7.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/155] elfutils-libs-0:0.191-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/155] elfutils-0:0.191-7.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/155] elfutils-debuginfod-client-0: 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/155] file-libs-0:5.45-5.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/155] file-0:5.45-5.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/155] binutils-0:2.42.50-14.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/155] libarchive-0:3.7.4-1.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/155] zstd-0:1.5.6-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/155] debugedit-0:5.0-16.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/155] pkgconf-pkg-config-0:2.1.1-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/155] pkgconf-0:2.1.1-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/155] pkgconf-m4-0:2.1.1-1.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/155] libpkgconf-0:2.1.1-1.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/155] curl-0:8.8.0-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/155] build-reproducibility-srpm-ma 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/155] add-determinism-nopython-0:0. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/155] forge-srpm-macros-0:0.3.1-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/155] qt5-srpm-macros-0:5.15.14-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/155] qt6-srpm-macros-0:6.7.1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/155] rust-srpm-macros-0:26.3-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 89/155] ansible-srpm-macros-0:1-15.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 90/155] dwz-0:0.15-6.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 91/155] efi-srpm-macros-0:5-11.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 92/155] fonts-srpm-macros-1:2.0.5-15. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 93/155] fpc-srpm-macros-0:1.3-12.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 94/155] ghc-srpm-macros-0:1.9.1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 95/155] gnat-srpm-macros-0:6-5.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 96/155] go-srpm-macros-0:3.6.0-1.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 97/155] kernel-srpm-macros-0:1.0-23.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 98/155] lua-srpm-macros-0:1-13.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 99/155] ocaml-srpm-macros-0:10-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [100/155] openblas-srpm-macros-0:2-17.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [101/155] package-notes-srpm-macros-0:0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [102/155] perl-srpm-macros-0:1-53.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [103/155] pyproject-srpm-macros-0:1.12. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [104/155] python-srpm-macros-0:3.12-9.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [105/155] zig-srpm-macros-0:1-2.fc40.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [106/155] zip-0:3.0-40.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [107/155] ed-0:1.20.2-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [108/155] gdbm-1:1.23-6.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [109/155] gdbm-libs-1:1.23-6.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [110/155] libnsl2-0:2.0.1-1.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [111/155] libpwquality-0:1.4.5-9.fc40.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [112/155] libtirpc-0:1.3.4-1.rc3.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [113/155] openssl-libs-1:3.2.2-1.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [114/155] crypto-policies-0:20240521-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [115/155] ca-certificates-0:2023.2.62_v 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [116/155] ncurses-base-0:6.4-12.2024012 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [117/155] krb5-libs-0:1.21.2-5.fc40.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [118/155] libcom_err-0:1.47.0-5.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [119/155] libsepol-0:3.6-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [120/155] pcre2-0:10.43-2.fc41.1.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [121/155] pcre2-syntax-0:10.43-2.fc41.1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [122/155] cracklib-0:2.9.11-5.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [123/155] libxml2-0:2.12.7-1.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [124/155] lz4-libs-0:1.9.4-6.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [125/155] keyutils-libs-0:1.6.3-3.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [126/155] libverto-0:0.3.2-8.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [127/155] basesystem-0:11-20.fc40.noarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [128/155] mpfr-0:4.2.1-4.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [129/155] filesystem-0:3.18-9.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [130/155] gmp-1:6.3.0-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [131/155] elfutils-default-yama-scope-0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [132/155] libstdc++-0:14.1.1-5.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [133/155] p11-kit-0:0.25.3-4.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [134/155] p11-kit-trust-0:0.25.3-4.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [135/155] alternatives-0:1.27-1.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [136/155] jansson-0:2.13.1-9.fc40.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [137/155] libtasn1-0:4.19.0-6.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [138/155] libffi-0:3.4.6-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [139/155] fedora-release-0:41-0.13.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [140/155] gdb-minimal-0:14.2-11.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [141/155] fedora-release-identity-basic 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [142/155] libcurl-0:8.8.0-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [143/155] libnghttp2-0:1.62.0-1.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [144/155] xxhash-libs-0:0.8.2-2.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [145/155] libbrotli-0:1.1.0-3.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [146/155] libidn2-0:2.3.7-1.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [147/155] libpsl-0:0.21.5-3.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [148/155] libssh-0:0.10.6-6.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [149/155] libssh-config-0:0.10.6-6.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [150/155] openldap-0:2.6.8-1.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [151/155] cyrus-sasl-lib-0:2.1.28-22.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [152/155] libevent-0:2.1.12-13.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [153/155] libtool-ltdl-0:2.4.7-11.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [154/155] libunistring-0:1.1-7.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [155/155] publicsuffix-list-dafsa-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [155/155] Total 100% | 0.0 B/s | 0.0 B | 00m00s Running transaction [ 1/157] Verify package files 100% | 234.0 B/s | 155.0 B | 00m01s >>> Running pre-transaction scriptlet: filesystem-0:3.18-9.fc41.ppc64le >>> Stop pre-transaction scriptlet: filesystem-0:3.18-9.fc41.ppc64le [ 2/157] Prepare transaction 100% | 1.4 KiB/s | 155.0 B | 00m00s [ 3/157] Installing libgcc-0:14.1.1-5. 100% | 86.0 MiB/s | 352.1 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.1.1-5.fc41.ppc64le >>> Stop post-install scriptlet: libgcc-0:14.1.1-5.fc41.ppc64le [ 4/157] Installing crypto-policies-0: 100% | 6.5 MiB/s | 147.0 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41 >>> Stop post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41.no [ 5/157] Installing fedora-release-ide 100% | 0.0 B/s | 952.0 B | 00m00s [ 6/157] Installing fedora-repos-rawhi 100% | 2.4 MiB/s | 2.4 KiB | 00m00s [ 7/157] Installing fedora-gpg-keys-0: 100% | 16.6 MiB/s | 169.7 KiB | 00m00s [ 8/157] Installing fedora-repos-0:41- 100% | 5.6 MiB/s | 5.7 KiB | 00m00s [ 9/157] Installing fedora-release-com 100% | 11.5 MiB/s | 23.5 KiB | 00m00s [ 10/157] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 11/157] Installing setup-0:2.15.0-4.f 100% | 25.3 MiB/s | 726.1 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.15.0-4.fc41.noarch >>> Stop post-install scriptlet: setup-0:2.15.0-4.fc41.noarch [ 12/157] Installing filesystem-0:3.18- 100% | 1.3 MiB/s | 212.5 KiB | 00m00s [ 13/157] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 14/157] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 15/157] Installing libssh-config-0:0. 100% | 796.9 KiB/s | 816.0 B | 00m00s [ 16/157] Installing pcre2-syntax-0:10. 100% | 81.9 MiB/s | 251.5 KiB | 00m00s [ 17/157] Installing ncurses-base-0:6.4 100% | 24.5 MiB/s | 351.6 KiB | 00m00s [ 18/157] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 19/157] Installing ncurses-libs-0:6.4 100% | 143.2 MiB/s | 2.4 MiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39.9000-18.fc41.ppc64le >>> Stop pre-install scriptlet: glibc-0:2.39.9000-18.fc41.ppc64le [ 20/157] Installing glibc-0:2.39.9000- 100% | 153.0 MiB/s | 15.3 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39.9000-18.fc41.ppc64le >>> Stop post-install scriptlet: glibc-0:2.39.9000-18.fc41.ppc64le [ 21/157] Installing bash-0:5.2.26-3.fc 100% | 152.4 MiB/s | 8.7 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.ppc64le >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.ppc64le [ 22/157] Installing glibc-common-0:2.3 100% | 138.5 MiB/s | 2.6 MiB | 00m00s [ 23/157] Installing glibc-gconv-extra- 100% | 207.5 MiB/s | 49.0 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.ppc64l >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.ppc64le [ 24/157] Installing zlib-ng-compat-0:2 100% | 128.2 MiB/s | 262.5 KiB | 00m00s [ 25/157] Installing bzip2-libs-0:1.0.8 100% | 98.5 MiB/s | 201.8 KiB | 00m00s [ 26/157] Installing xz-libs-1:5.4.6-3. 100% | 107.6 MiB/s | 330.6 KiB | 00m00s [ 27/157] Installing libuuid-0:2.40.1-1 100% | 96.9 MiB/s | 198.4 KiB | 00m00s [ 28/157] Installing readline-0:8.2-8.f 100% | 133.3 MiB/s | 819.2 KiB | 00m00s [ 29/157] Installing libzstd-0:1.5.6-1. 100% | 138.0 MiB/s | 989.2 KiB | 00m00s [ 30/157] Installing elfutils-libelf-0: 100% | 146.0 MiB/s | 1.3 MiB | 00m00s [ 31/157] Installing popt-0:1.19-6.fc40 100% | 45.5 MiB/s | 279.4 KiB | 00m00s [ 32/157] Installing libblkid-0:2.40.1- 100% | 118.1 MiB/s | 483.6 KiB | 00m00s [ 33/157] Installing libxcrypt-0:4.4.36 100% | 98.0 MiB/s | 401.3 KiB | 00m00s [ 34/157] Installing libattr-0:2.5.2-3. 100% | 192.6 MiB/s | 197.2 KiB | 00m00s [ 35/157] Installing libacl-0:2.3.2-1.f 100% | 192.0 MiB/s | 196.6 KiB | 00m00s [ 36/157] Installing gmp-1:6.3.0-1.fc41 100% | 118.9 MiB/s | 852.5 KiB | 00m00s [ 37/157] Installing libstdc++-0:14.1.1 100% | 153.7 MiB/s | 3.8 MiB | 00m00s [ 38/157] Installing libeconf-0:0.6.2-2 100% | 101.4 MiB/s | 207.6 KiB | 00m00s [ 39/157] Installing gdbm-libs-1:1.23-6 100% | 139.1 MiB/s | 427.2 KiB | 00m00s [ 40/157] Installing mpfr-0:4.2.1-4.fc4 100% | 119.5 MiB/s | 978.6 KiB | 00m00s [ 41/157] Installing gawk-0:5.3.0-3.fc4 100% | 167.1 MiB/s | 4.5 MiB | 00m00s [ 42/157] Installing dwz-0:0.15-6.fc40. 100% | 110.4 MiB/s | 452.1 KiB | 00m00s [ 43/157] Installing unzip-0:6.0-63.fc4 100% | 139.6 MiB/s | 858.0 KiB | 00m00s [ 44/157] Installing file-libs-0:5.45-5 100% | 219.3 MiB/s | 10.1 MiB | 00m00s [ 45/157] Installing file-0:5.45-5.fc41 100% | 131.3 MiB/s | 268.9 KiB | 00m00s [ 46/157] Installing libsmartcols-0:2.4 100% | 115.4 MiB/s | 354.5 KiB | 00m00s [ 47/157] Installing libcap-ng-0:0.8.5- 100% | 136.2 MiB/s | 418.4 KiB | 00m00s [ 48/157] Installing audit-libs-0:4.0.1 100% | 132.3 MiB/s | 677.3 KiB | 00m00s [ 49/157] Installing pam-libs-0:1.6.1-3 100% | 148.6 MiB/s | 608.7 KiB | 00m00s [ 50/157] Installing libcap-0:2.70-1.fc 100% | 152.8 MiB/s | 1.4 MiB | 00m00s [ 51/157] Installing systemd-libs-0:256 100% | 155.7 MiB/s | 3.0 MiB | 00m00s [ 52/157] Installing lua-libs-0:5.4.6-5 100% | 111.8 MiB/s | 458.1 KiB | 00m00s [ 53/157] Installing libcom_err-0:1.47. 100% | 117.3 MiB/s | 240.2 KiB | 00m00s [ 54/157] Installing libsepol-0:3.6-3.f 100% | 138.1 MiB/s | 1.1 MiB | 00m00s [ 55/157] Installing pcre2-0:10.43-2.fc 100% | 157.9 MiB/s | 970.3 KiB | 00m00s [ 56/157] Installing libselinux-0:3.6-4 100% | 107.5 MiB/s | 330.3 KiB | 00m00s [ 57/157] Installing sed-0:4.9-1.fc40.p 100% | 82.2 MiB/s | 1.0 MiB | 00m00s [ 58/157] Installing grep-0:3.11-8.fc41 100% | 89.2 MiB/s | 1.2 MiB | 00m00s [ 59/157] Installing findutils-1:4.10.0 100% | 114.3 MiB/s | 2.2 MiB | 00m00s [ 60/157] Installing xz-1:5.4.6-3.fc41. 100% | 95.1 MiB/s | 2.3 MiB | 00m00s [ 61/157] Installing libmount-0:2.40.1- 100% | 134.0 MiB/s | 549.0 KiB | 00m00s [ 62/157] Installing lz4-libs-0:1.9.4-6 100% | 106.2 MiB/s | 326.2 KiB | 00m00s [ 63/157] Installing alternatives-0:1.2 100% | 107.4 MiB/s | 219.9 KiB | 00m00s [ 64/157] Installing libtasn1-0:4.19.0- 100% | 113.7 MiB/s | 349.2 KiB | 00m00s [ 65/157] Installing libunistring-0:1.1 100% | 144.0 MiB/s | 1.9 MiB | 00m00s [ 66/157] Installing libidn2-0:2.3.7-1. 100% | 64.6 MiB/s | 462.8 KiB | 00m00s [ 67/157] Installing libpsl-0:0.21.5-3. 100% | 96.4 MiB/s | 197.3 KiB | 00m00s [ 68/157] Installing zstd-0:1.5.6-1.fc4 100% | 158.9 MiB/s | 2.1 MiB | 00m00s [ 69/157] Installing util-linux-core-0: 100% | 177.1 MiB/s | 6.2 MiB | 00m00s [ 70/157] Installing tar-2:1.35-3.fc40. 100% | 133.0 MiB/s | 3.2 MiB | 00m00s [ 71/157] Installing libsemanage-0:3.6- 100% | 96.0 MiB/s | 491.3 KiB | 00m00s [ 72/157] Installing shadow-utils-2:4.1 100% | 100.5 MiB/s | 7.4 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.ppc64le >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.ppc64le [ 73/157] Installing libutempter-0:1.2. 100% | 136.6 MiB/s | 419.5 KiB | 00m00s [ 74/157] Installing zip-0:3.0-40.fc40. 100% | 138.7 MiB/s | 1.2 MiB | 00m00s [ 75/157] Installing gdbm-1:1.23-6.fc40 100% | 113.9 MiB/s | 933.2 KiB | 00m00s [ 76/157] Installing cyrus-sasl-lib-0:2 100% | 154.1 MiB/s | 3.5 MiB | 00m00s [ 77/157] Installing libfdisk-0:2.40.1- 100% | 133.8 MiB/s | 548.1 KiB | 00m00s [ 78/157] Installing add-determinism-no 100% | 147.5 MiB/s | 2.7 MiB | 00m00s [ 79/157] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 80/157] Installing libxml2-0:2.12.7-1 100% | 148.1 MiB/s | 2.5 MiB | 00m00s [ 81/157] Installing bzip2-0:1.0.8-18.f 100% | 105.5 MiB/s | 432.0 KiB | 00m00s [ 82/157] Installing sqlite-libs-0:3.46 100% | 148.8 MiB/s | 1.9 MiB | 00m00s [ 83/157] Installing ed-0:1.20.2-1.fc41 100% | 92.8 MiB/s | 285.0 KiB | 00m00s [ 84/157] Installing patch-0:2.7.6-24.f 100% | 127.6 MiB/s | 392.1 KiB | 00m00s [ 85/157] Installing elfutils-default-y 100% | 510.7 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.noar [ 86/157] Installing cpio-0:2.15-1.fc40 100% | 101.7 MiB/s | 1.2 MiB | 00m00s [ 87/157] Installing diffutils-0:3.10-5 100% | 135.7 MiB/s | 2.2 MiB | 00m00s [ 88/157] Installing librtas-0:2.0.6-1. 100% | 48.8 MiB/s | 499.7 KiB | 00m00s >>> Running post-install scriptlet: librtas-0:2.0.6-1.fc41.ppc64le >>> Stop post-install scriptlet: librtas-0:2.0.6-1.fc41.ppc64le [ 89/157] Installing libgomp-0:14.1.1-5 100% | 136.1 MiB/s | 696.8 KiB | 00m00s [ 90/157] Installing libpkgconf-0:2.1.1 100% | 97.2 MiB/s | 199.1 KiB | 00m00s [ 91/157] Installing pkgconf-0:2.1.1-1. 100% | 78.5 MiB/s | 241.2 KiB | 00m00s [ 92/157] Installing keyutils-libs-0:1. 100% | 111.1 MiB/s | 227.5 KiB | 00m00s [ 93/157] Installing libverto-0:0.3.2-8 100% | 97.2 MiB/s | 199.0 KiB | 00m00s [ 94/157] Installing jansson-0:2.13.1-9 100% | 108.2 MiB/s | 221.7 KiB | 00m00s [ 95/157] Installing libffi-0:3.4.6-1.f 100% | 107.1 MiB/s | 219.4 KiB | 00m00s [ 96/157] Installing p11-kit-0:0.25.3-4 100% | 112.3 MiB/s | 3.1 MiB | 00m00s [ 97/157] Installing p11-kit-trust-0:0. 100% | 64.2 MiB/s | 657.1 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.ppc64le >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.ppc64le [ 98/157] Installing libnghttp2-0:1.62. 100% | 128.5 MiB/s | 263.2 KiB | 00m00s [ 99/157] Installing xxhash-libs-0:0.8. 100% | 104.2 MiB/s | 213.3 KiB | 00m00s [100/157] Installing libbrotli-0:1.1.0- 100% | 140.6 MiB/s | 1.3 MiB | 00m00s [101/157] Installing libtool-ltdl-0:2.4 100% | 109.0 MiB/s | 223.2 KiB | 00m00s [102/157] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [103/157] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [104/157] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [105/157] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [106/157] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [107/157] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [108/157] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [109/157] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [110/157] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [111/157] Installing rust-srpm-macros-0 100% | 5.4 MiB/s | 5.6 KiB | 00m00s [112/157] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [113/157] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [114/157] Installing pkgconf-m4-0:2.1.1 100% | 14.0 MiB/s | 14.3 KiB | 00m00s [115/157] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [116/157] Installing coreutils-common-0 100% | 143.5 MiB/s | 11.2 MiB | 00m00s [117/157] Installing openssl-libs-1:3.2 100% | 156.1 MiB/s | 8.6 MiB | 00m00s [118/157] Installing coreutils-0:9.5-2. 100% | 193.6 MiB/s | 21.5 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.n >>> Stop pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noar [119/157] Installing ca-certificates-0: 100% | 1.7 MiB/s | 2.3 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40. >>> Stop post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noa [120/157] Installing krb5-libs-0:1.21.2 100% | 147.8 MiB/s | 3.8 MiB | 00m00s [121/157] Installing libarchive-0:3.7.4 100% | 132.9 MiB/s | 1.3 MiB | 00m00s [122/157] Installing libtirpc-0:1.3.4-1 100% | 110.9 MiB/s | 340.6 KiB | 00m00s [123/157] Installing gzip-0:1.13-1.fc40 100% | 90.9 MiB/s | 558.4 KiB | 00m00s [124/157] Installing authselect-libs-0: 100% | 66.0 MiB/s | 946.7 KiB | 00m00s [125/157] Installing authselect-0:1.5.0 100% | 61.3 MiB/s | 313.8 KiB | 00m00s [126/157] Installing cracklib-0:2.9.11- 100% | 77.0 MiB/s | 945.6 KiB | 00m00s [127/157] Installing libpwquality-0:1.4 100% | 79.1 MiB/s | 1.1 MiB | 00m00s [128/157] Installing libnsl2-0:2.0.1-1. 100% | 72.6 MiB/s | 222.9 KiB | 00m00s [129/157] Installing pam-0:1.6.1-3.fc41 100% | 153.1 MiB/s | 11.0 MiB | 00m00s [130/157] Installing libssh-0:0.10.6-6. 100% | 115.8 MiB/s | 711.5 KiB | 00m00s [131/157] Installing rpm-sequoia-0:1.6. 100% | 140.7 MiB/s | 2.7 MiB | 00m00s [132/157] Installing rpm-libs-0:4.19.91 100% | 121.5 MiB/s | 1.1 MiB | 00m00s [133/157] Installing libevent-0:2.1.12- 100% | 144.1 MiB/s | 1.6 MiB | 00m00s [134/157] Installing openldap-0:2.6.8-1 100% | 105.9 MiB/s | 1.1 MiB | 00m00s [135/157] Installing libcurl-0:8.8.0-1. 100% | 85.5 MiB/s | 1.0 MiB | 00m00s [136/157] Installing elfutils-libs-0:0. 100% | 86.9 MiB/s | 1.0 MiB | 00m00s [137/157] Installing elfutils-debuginfo 100% | 77.8 MiB/s | 398.5 KiB | 00m00s [138/157] Installing elfutils-0:0.191-7 100% | 122.5 MiB/s | 5.3 MiB | 00m00s [139/157] Installing binutils-0:2.42.50 100% | 139.7 MiB/s | 32.1 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.42.50-14.fc41.ppc64le >>> Stop post-install scriptlet: binutils-0:2.42.50-14.fc41.ppc64le [140/157] Installing gdb-minimal-0:14.2 100% | 164.9 MiB/s | 13.8 MiB | 00m00s [141/157] Installing debugedit-0:5.0-16 100% | 122.5 MiB/s | 501.8 KiB | 00m00s [142/157] Installing rpm-build-libs-0:4 100% | 159.9 MiB/s | 327.4 KiB | 00m00s [143/157] Installing curl-0:8.8.0-1.fc4 100% | 56.9 MiB/s | 874.2 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.91-8.fc41.ppc64le >>> Stop pre-install scriptlet: rpm-0:4.19.91-8.fc41.ppc64le [144/157] Installing rpm-0:4.19.91-8.fc 100% | 85.9 MiB/s | 3.3 MiB | 00m00s [145/157] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [146/157] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [147/157] Installing zig-srpm-macros-0: 100% | 1.6 MiB/s | 1.7 KiB | 00m00s [148/157] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.3 KiB | 00m00s [149/157] Installing fonts-srpm-macros- 100% | 55.1 MiB/s | 56.5 KiB | 00m00s [150/157] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [151/157] Installing python-srpm-macros 100% | 50.5 MiB/s | 51.7 KiB | 00m00s [152/157] Installing redhat-rpm-config- 100% | 37.1 MiB/s | 190.0 KiB | 00m00s [153/157] Installing rpm-build-0:4.19.9 100% | 139.6 MiB/s | 1.4 MiB | 00m00s [154/157] Installing pyproject-srpm-mac 100% | 1.0 MiB/s | 2.1 KiB | 00m00s [155/157] Installing util-linux-0:2.40. 100% | 171.6 MiB/s | 17.8 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc41.ppc64le >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc41.ppc64le [156/157] Installing which-0:2.21-41.fc 100% | 81.5 MiB/s | 250.2 KiB | 00m00s [157/157] Installing info-0:7.1-2.fc40. 100% | 389.1 KiB/s | 677.9 KiB | 00m02s >>> Running post-transaction scriptlet: filesystem-0:3.18-9.fc41.ppc64le >>> Stop post-transaction scriptlet: filesystem-0:3.18-9.fc41.ppc64le >>> Running post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.f >>> Stop post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40 >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.ppc64le >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.ppc64le >>> Running post-transaction scriptlet: rpm-0:4.19.91-8.fc41.ppc64le >>> Stop post-transaction scriptlet: rpm-0:4.19.91-8.fc41.ppc64le >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.ppc64le >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.ppc64le >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.ppc64le >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.ppc64le Warning: skipped PGP checks for 155 packages from repositories: copr_base, http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.ppc64le alternatives-1.27-1.fc41.ppc64le ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.ppc64le authselect-1.5.0-5.fc41.ppc64le authselect-libs-1.5.0-5.fc41.ppc64le basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.ppc64le binutils-2.42.50-14.fc41.ppc64le build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.ppc64le bzip2-libs-1.0.8-18.fc40.ppc64le ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.ppc64le coreutils-common-9.5-2.fc41.ppc64le cpio-2.15-1.fc40.ppc64le cracklib-2.9.11-5.fc40.ppc64le crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.ppc64le cyrus-sasl-lib-2.1.28-22.fc41.ppc64le debugedit-5.0-16.fc41.ppc64le diffutils-3.10-5.fc40.ppc64le dwz-0.15-6.fc40.ppc64le ed-1.20.2-1.fc41.ppc64le efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.ppc64le elfutils-debuginfod-client-0.191-7.fc41.ppc64le elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.ppc64le elfutils-libs-0.191-7.fc41.ppc64le fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.ppc64le file-libs-5.45-5.fc41.ppc64le filesystem-3.18-9.fc41.ppc64le findutils-4.10.0-2.fc41.ppc64le fonts-srpm-macros-2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.ppc64le gdb-minimal-14.2-11.fc41.ppc64le gdbm-1.23-6.fc40.ppc64le gdbm-libs-1.23-6.fc40.ppc64le ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.ppc64le glibc-common-2.39.9000-18.fc41.ppc64le glibc-gconv-extra-2.39.9000-18.fc41.ppc64le glibc-minimal-langpack-2.39.9000-18.fc41.ppc64le gmp-6.3.0-1.fc41.ppc64le gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.ppc64le gzip-1.13-1.fc40.ppc64le info-7.1-2.fc40.ppc64le jansson-2.13.1-9.fc40.ppc64le kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.ppc64le krb5-libs-1.21.2-5.fc40.ppc64le libacl-2.3.2-1.fc40.ppc64le libarchive-3.7.4-1.fc41.ppc64le libattr-2.5.2-3.fc40.ppc64le libblkid-2.40.1-1.fc41.ppc64le libbrotli-1.1.0-3.fc40.ppc64le libcap-2.70-1.fc41.ppc64le libcap-ng-0.8.5-1.fc41.ppc64le libcom_err-1.47.0-5.fc40.ppc64le libcurl-8.8.0-1.fc41.ppc64le libeconf-0.6.2-2.fc41.ppc64le libevent-2.1.12-13.fc41.ppc64le libfdisk-2.40.1-1.fc41.ppc64le libffi-3.4.6-1.fc41.ppc64le libgcc-14.1.1-5.fc41.ppc64le libgomp-14.1.1-5.fc41.ppc64le libidn2-2.3.7-1.fc40.ppc64le libmount-2.40.1-1.fc41.ppc64le libnghttp2-1.62.0-1.fc41.ppc64le libnsl2-2.0.1-1.fc40.ppc64le libpkgconf-2.1.1-1.fc41.ppc64le libpsl-0.21.5-3.fc40.ppc64le libpwquality-1.4.5-9.fc40.ppc64le librtas-2.0.6-1.fc41.ppc64le libselinux-3.6-4.fc40.ppc64le libsemanage-3.6-3.fc40.ppc64le libsepol-3.6-3.fc40.ppc64le libsmartcols-2.40.1-1.fc41.ppc64le libssh-0.10.6-6.fc41.ppc64le libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.ppc64le libtasn1-4.19.0-6.fc40.ppc64le libtirpc-1.3.4-1.rc3.fc41.ppc64le libtool-ltdl-2.4.7-11.fc41.ppc64le libunistring-1.1-7.fc41.ppc64le libutempter-1.2.1-13.fc40.ppc64le libuuid-2.40.1-1.fc41.ppc64le libverto-0.3.2-8.fc40.ppc64le libxcrypt-4.4.36-5.fc40.ppc64le libxml2-2.12.7-1.fc41.ppc64le libzstd-1.5.6-1.fc41.ppc64le lua-libs-5.4.6-5.fc40.ppc64le lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.ppc64le mpfr-4.2.1-4.fc41.ppc64le ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.ppc64le ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.ppc64le openssl-libs-3.2.2-1.fc41.ppc64le p11-kit-0.25.3-4.fc40.ppc64le p11-kit-trust-0.25.3-4.fc40.ppc64le package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.ppc64le pam-libs-1.6.1-3.fc41.ppc64le patch-2.7.6-24.fc40.ppc64le pcre2-10.43-2.fc41.1.ppc64le pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.ppc64le pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.ppc64le popt-1.19-6.fc40.ppc64le publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.ppc64le redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.ppc64le rpm-build-4.19.91-8.fc41.ppc64le rpm-build-libs-4.19.91-8.fc41.ppc64le rpm-libs-4.19.91-8.fc41.ppc64le rpm-sequoia-1.6.0-3.fc41.ppc64le rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.ppc64le setup-2.15.0-4.fc41.noarch shadow-utils-4.15.1-5.fc41.ppc64le sqlite-libs-3.46.0-1.fc41.ppc64le systemd-libs-256~rc4-2.fc41.ppc64le tar-1.35-3.fc40.ppc64le unzip-6.0-63.fc40.ppc64le util-linux-2.40.1-1.fc41.ppc64le util-linux-core-2.40.1-1.fc41.ppc64le which-2.21-41.fc40.ppc64le xxhash-libs-0.8.2-2.fc40.ppc64le xz-5.4.6-3.fc41.ppc64le xz-libs-5.4.6-3.fc41.ppc64le zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.ppc64le zlib-ng-compat-2.1.6-6.fc41.ppc64le zstd-1.5.6-1.fc41.ppc64le Start: buildsrpm Start: rpmbuild -bs Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-40-ppc64le-1717895875.548494/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-ppc64le-1717895875.548494/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-5lulz843/nextpnr/nextpnr.spec) Config(child) 0 minutes 46 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(fedora-40-ppc64le) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-ppc64le-bootstrap-1717895875.548494/root. INFO: reusing tmpfs at /var/lib/mock/fedora-40-ppc64le-bootstrap-1717895875.548494/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-ppc64le-1717895875.548494/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.ppc64le rpm-sequoia-1.6.0-3.fc40.ppc64le python3-dnf-4.20.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.ppc64le dnf5-plugins-5.2.3.0-1.fc41.ppc64le Finish: chroot init Start: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Updating and loading repositories: Additional repo http_kojipkgs_fedorapr 100% | 49.1 KiB/s | 4.1 KiB | 00m00s Copr repository 100% | 34.2 KiB/s | 1.5 KiB | 00m00s updates 100% | 328.4 KiB/s | 6.6 KiB | 00m00s fedora 100% | 263.9 KiB/s | 6.1 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: boost-devel ppc64le 1.83.0-7.fc41 copr_base 143.0 MiB boost-filesystem ppc64le 1.83.0-7.fc41 copr_base 262.8 KiB boost-iostreams ppc64le 1.83.0-7.fc41 copr_base 198.1 KiB boost-program-options ppc64le 1.83.0-7.fc41 copr_base 331.1 KiB boost-thread ppc64le 1.83.0-7.fc41 copr_base 264.2 KiB cmake ppc64le 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34.1 MiB eigen3-devel noarch 3.4.0-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 MiB gcc-c++ ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36.1 MiB icestorm ppc64le 0-0.33.20240524gitc23e99c.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 111.5 MiB libglvnd-devel ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB make ppc64le 1:4.4.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB python3-devel ppc64le 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB python3-setuptools noarch 69.2.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.2 MiB qt5-qtconfiguration-devel ppc64le 0.3.1-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.2 KiB trellis-devel ppc64le 1.2.1-26.20240524git2dab009.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 MiB Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 192.7 KiB add-determinism ppc64le 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB annobin-docs noarch 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96.2 KiB annobin-plugin-gcc ppc64le 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB avahi-libs ppc64le 0.8-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 613.8 KiB boost ppc64le 1.83.0-7.fc41 copr_base 1.3 KiB boost-atomic ppc64le 1.83.0-7.fc41 copr_base 196.7 KiB boost-chrono ppc64le 1.83.0-7.fc41 copr_base 197.3 KiB boost-container ppc64le 1.83.0-7.fc41 copr_base 197.3 KiB boost-context ppc64le 1.83.0-7.fc41 copr_base 196.2 KiB boost-contract ppc64le 1.83.0-7.fc41 copr_base 262.5 KiB boost-coroutine ppc64le 1.83.0-7.fc41 copr_base 197.0 KiB boost-date-time ppc64le 1.83.0-7.fc41 copr_base 196.0 KiB boost-fiber ppc64le 1.83.0-7.fc41 copr_base 198.2 KiB boost-graph ppc64le 1.83.0-7.fc41 copr_base 525.2 KiB boost-json ppc64le 1.83.0-7.fc41 copr_base 456.0 KiB boost-locale ppc64le 1.83.0-7.fc41 copr_base 852.4 KiB boost-log ppc64le 1.83.0-7.fc41 copr_base 1.9 MiB boost-math ppc64le 1.83.0-7.fc41 copr_base 1.8 MiB boost-nowide ppc64le 1.83.0-7.fc41 copr_base 196.8 KiB boost-numpy3 ppc64le 1.83.0-7.fc41 copr_base 197.3 KiB boost-python3 ppc64le 1.83.0-7.fc41 copr_base 397.5 KiB boost-random ppc64le 1.83.0-7.fc41 copr_base 197.5 KiB boost-regex ppc64le 1.83.0-7.fc41 copr_base 394.2 KiB boost-serialization ppc64le 1.83.0-7.fc41 copr_base 723.3 KiB boost-stacktrace ppc64le 1.83.0-7.fc41 copr_base 587.4 KiB boost-system ppc64le 1.83.0-7.fc41 copr_base 196.0 KiB boost-test ppc64le 1.83.0-7.fc41 copr_base 920.5 KiB boost-timer ppc64le 1.83.0-7.fc41 copr_base 197.9 KiB boost-type_erasure ppc64le 1.83.0-7.fc41 copr_base 198.0 KiB boost-wave ppc64le 1.83.0-7.fc41 copr_base 854.1 KiB cairo ppc64le 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB cmake-data noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.0 MiB cmake-filesystem ppc64le 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B cmake-rpm-macros noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.5 KiB cpp ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.4 MiB cups-libs ppc64le 1:2.4.8-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB dbus ppc64le 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B dbus-broker ppc64le 36-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 742.2 KiB dbus-common noarch 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.2 KiB dbus-libs ppc64le 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 553.2 KiB dconf ppc64le 0.40.0-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 819.4 KiB default-fonts-core-sans noarch 4.0-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.9 KiB double-conversion ppc64le 3.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 268.4 KiB duktape ppc64le 2.7.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB emacs-filesystem noarch 1:30.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B expat ppc64le 2.6.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 600.6 KiB flexiblas ppc64le 3.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48.5 KiB flexiblas-netlib ppc64le 3.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.6 MiB flexiblas-openblas-openmp ppc64le 3.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.0 KiB fontconfig ppc64le 2.15.0-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 MiB fonts-filesystem noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B freetype ppc64le 2.13.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB gc ppc64le 8.2.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 914.0 KiB gcc ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 92.8 MiB gcc-plugin-annobin ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.9 KiB glib2 ppc64le 2.80.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.4 MiB glibc-devel ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 MiB glx-utils ppc64le 9.0.0-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 846.8 KiB gnutls ppc64le 3.8.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.6 MiB google-noto-fonts-common noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.5 KiB google-noto-sans-vf-fonts noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB graphite2 ppc64le 1.3.14-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 495.6 KiB groff-base ppc64le 1.23.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.4 MiB guile30 ppc64le 3.0.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53.3 MiB harfbuzz ppc64le 8.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.5 MiB jsoncpp ppc64le 1.9.5-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 335.7 KiB kernel-headers ppc64le 6.10.0-0.rc2.24.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.3 MiB libICE ppc64le 1.1.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 337.1 KiB libSM ppc64le 1.2.4-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 253.2 KiB libX11 ppc64le 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB libX11-common noarch 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libX11-devel ppc64le 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB libX11-xcb ppc64le 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 194.7 KiB libXau ppc64le 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 242.5 KiB libXau-devel ppc64le 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.4 KiB libXext ppc64le 1.3.6-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 273.8 KiB libXfixes ppc64le 6.0.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.1 KiB libXrender ppc64le 0.9.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.0 KiB libXxf86vm ppc64le 1.1.5-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.2 KiB libasan ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 MiB libatomic ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.2 KiB libb2 ppc64le 0.98.1-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 202.1 KiB libdrm ppc64le 2.4.121-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 868.6 KiB libevdev ppc64le 1.13.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.0 KiB libftdi ppc64le 1.5-13.fc41 copr_base 221.9 KiB libgfortran ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 MiB libglvnd ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB libglvnd-core-devel ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.3 KiB libglvnd-egl ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.8 KiB libglvnd-gles ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 649.9 KiB libglvnd-glx ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libglvnd-opengl ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 392.8 KiB libgudev ppc64le 238-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 231.8 KiB libicu ppc64le 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36.8 MiB libicu-devel ppc64le 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.7 MiB libinput ppc64le 1.26.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB libjpeg-turbo ppc64le 3.0.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 984.5 KiB libmpc ppc64le 1.3.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345.6 KiB libpng ppc64le 2:1.6.40-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 397.7 KiB libproxy ppc64le 0.5.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 430.7 KiB libquadmath ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 490.5 KiB libseccomp ppc64le 2.5.3-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 307.2 KiB libstdc++-devel ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.3 MiB libubsan ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 668.6 KiB libusb1 ppc64le 1.0.27-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 306.2 KiB libuv ppc64le 1:1.48.0-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 650.6 KiB libwacom ppc64le 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 406.6 KiB libwacom-data noarch 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB libwayland-client ppc64le 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.0 KiB libwayland-server ppc64le 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.5 KiB libxcb ppc64le 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.1 MiB libxcb-devel ppc64le 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB libxcrypt-devel ppc64le 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30.3 KiB libxkbcommon ppc64le 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 659.9 KiB libxkbcommon-x11 ppc64le 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.3 KiB libxshmfence ppc64le 1.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.1 KiB mesa-libEGL ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 524.0 KiB mesa-libEGL-devel ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.5 KiB mesa-libGL ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 726.1 KiB mesa-libgbm ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.2 KiB mesa-libglapi ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 332.6 KiB mpdecimal ppc64le 2.5.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 328.9 KiB mtdev ppc64le 1.1.6-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.0 KiB ncurses ppc64le 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB nettle ppc64le 3.9.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB openblas ppc64le 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96.0 KiB openblas-openmp ppc64le 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23.6 MiB pcre2-utf16 ppc64le 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 773.6 KiB perl-AutoLoader noarch 5.74-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.5 KiB perl-B ppc64le 1.88-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 604.3 KiB perl-Carp noarch 1.54-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 46.5 KiB perl-Class-Struct noarch 0.68-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.4 KiB perl-Data-Dumper ppc64le 2.189-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 263.4 KiB perl-Digest noarch 1.20-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.2 KiB perl-Digest-MD5 ppc64le 2.59-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 231.4 KiB perl-DynaLoader ppc64le 1.54-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.1 KiB perl-Encode ppc64le 4:3.21-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.9 MiB perl-English noarch 1.11-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 KiB perl-Errno ppc64le 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 KiB perl-Exporter noarch 5.78-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 54.2 KiB perl-Fcntl ppc64le 1.15-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200.3 KiB perl-File-Basename noarch 2.86-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.0 KiB perl-File-Find noarch 1.43-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.9 KiB perl-File-Path noarch 2.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 162.3 KiB perl-File-stat noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.7 KiB perl-FileHandle noarch 2.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 KiB perl-Getopt-Long noarch 1:2.57-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.1 KiB perl-Getopt-Std noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.1 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 152.1 KiB perl-IO ppc64le 1.52-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 318.7 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 685.0 KiB perl-IPC-Open3 noarch 1.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB perl-MIME-Base64 ppc64le 3.16-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 221.7 KiB perl-Mozilla-CA noarch 20240313-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.5 KiB perl-Net-SSLeay ppc64le 1.94-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB perl-POSIX ppc64le 2.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 389.9 KiB perl-PathTools ppc64le 3.91-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 351.5 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84.7 KiB perl-Scalar-List-Utils ppc64le 5:1.63-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 277.2 KiB perl-SelectSaver noarch 1.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 KiB perl-Socket ppc64le 4:2.038-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 271.7 KiB perl-Storable ppc64le 1:3.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 372.3 KiB perl-Symbol noarch 1.09-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.3 KiB perl-Text-ParseWords noarch 3.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 68.9 KiB perl-URI noarch 5.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 240.2 KiB perl-base noarch 2.27-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.5 KiB perl-constant noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.2 KiB perl-if noarch 0.61.000-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.8 KiB perl-interpreter ppc64le 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 299.7 KiB perl-libnet noarch 3.15-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 289.0 KiB perl-libs ppc64le 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.6 MiB perl-locale noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 KiB perl-mro ppc64le 1.28-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209.3 KiB perl-overload noarch 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 71.5 KiB perl-overloading noarch 0.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 KiB perl-parent noarch 1:0.241-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 308.1 KiB perl-vars noarch 1.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 KiB pixman ppc64le 0.43.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 717.1 KiB pyproject-rpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99.6 KiB python-pip-wheel noarch 24.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB python-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.1 KiB python3 ppc64le 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 211.4 KiB python3-libs ppc64le 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 51.5 MiB python3-numpy ppc64le 1:1.26.4-7.fc41 copr_base 44.1 MiB python3-packaging noarch 24.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 424.8 KiB python3-rpm-generators noarch 14-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 81.7 KiB python3-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.4 KiB qt-settings noarch 40.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 KiB qt5-qtbase ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.5 MiB qt5-qtbase-common noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.0 B qt5-qtbase-devel ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.4 MiB qt5-qtbase-gui ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.6 MiB qt5-qtconfiguration ppc64le 0.3.1-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 475.6 KiB qt5-qtdeclarative ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.0 MiB qt5-rpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 KiB rhash ppc64le 1.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 649.8 KiB systemd ppc64le 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.7 MiB systemd-pam ppc64le 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB systemd-rpm-macros noarch 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 KiB trellis ppc64le 1.2.1-26.20240524git2dab009.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.3 MiB trellis-data noarch 1.2.1-26.20240524git2dab009.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 81.2 MiB tzdata noarch 2024a-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB vim-filesystem noarch 2:9.1.452-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.0 B vulkan-headers noarch 1.3.283.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.1 MiB vulkan-loader ppc64le 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 933.2 KiB vulkan-loader-devel ppc64le 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.8 KiB xcb-util ppc64le 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.1 KiB xcb-util-image ppc64le 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.9 KiB xcb-util-keysyms ppc64le 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.4 KiB xcb-util-renderutil ppc64le 0.3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200.4 KiB xcb-util-wm ppc64le 0.4.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 392.8 KiB xkeyboard-config noarch 2.41-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.6 MiB xml-common noarch 0.6.3-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.4 KiB xorg-x11-proto-devel noarch 2024.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB Transaction Summary: Installing: 240 packages Total size of inbound packages is 205 MiB. Need to download 51 MiB. After this operation 1 GiB will be used (install 1 GiB, remove 0 B). [ 1/240] boost-filesystem-0:1.83.0-7.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 2/240] boost-iostreams-0:1.83.0-7.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/240] boost-program-options-0:1.83. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/240] boost-devel-0:1.83.0-7.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/240] boost-thread-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/240] cmake-0:3.28.3-5.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/240] gcc-c++-0:14.1.1-5.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/240] make-1:4.4.1-6.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/240] python3-devel-0:3.12.3-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 10/240] boost-atomic-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 11/240] boost-system-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 12/240] boost-0:1.83.0-7.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 13/240] boost-numpy3-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 14/240] cmake-data-0:3.28.3-5.fc41.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 15/240] cmake-filesystem-0:3.28.3-5.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 16/240] rhash-0:1.4.4-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 17/240] gcc-0:14.1.1-5.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 18/240] libstdc++-devel-0:14.1.1-5.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 19/240] python3-0:3.12.3-2.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 20/240] python3-libs-0:3.12.3-2.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 21/240] boost-chrono-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 22/240] boost-container-0:1.83.0-7.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 23/240] boost-context-0:1.83.0-7.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 24/240] boost-contract-0:1.83.0-7.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 25/240] boost-coroutine-0:1.83.0-7.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 26/240] boost-date-time-0:1.83.0-7.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 27/240] boost-fiber-0:1.83.0-7.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 28/240] boost-graph-0:1.83.0-7.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 29/240] boost-json-0:1.83.0-7.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 30/240] boost-locale-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/240] boost-log-0:1.83.0-7.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/240] boost-math-0:1.83.0-7.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/240] boost-nowide-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/240] boost-python3-0:1.83.0-7.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/240] boost-random-0:1.83.0-7.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/240] boost-regex-0:1.83.0-7.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/240] boost-serialization-0:1.83.0- 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/240] boost-stacktrace-0:1.83.0-7.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/240] boost-test-0:1.83.0-7.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/240] boost-timer-0:1.83.0-7.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/240] boost-type_erasure-0:1.83.0-7 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/240] boost-wave-0:1.83.0-7.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/240] cpp-0:14.1.1-5.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/240] add-determinism-0:0.2.0-9.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/240] glibc-devel-0:2.39.9000-18.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/240] gcc-plugin-annobin-0:14.1.1-5 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/240] pyproject-rpm-macros-0:1.12.1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/240] python-rpm-macros-0:3.12-9.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/240] python3-rpm-macros-0:3.12-9.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/240] cmake-rpm-macros-0:3.28.3-5.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/240] libicu-0:74.2-1.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/240] python3-numpy-1:1.26.4-7.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/240] libicu-devel-0:74.2-1.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/240] glib2-0:2.80.2-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/240] cups-libs-1:2.4.8-5.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/240] fontconfig-0:2.15.0-6.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/240] freetype-0:2.13.2-5.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/240] harfbuzz-0:8.4.0-1.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/240] libICE-0:1.1.1-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/240] libSM-0:1.2.4-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/240] libX11-0:1.8.9-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/240] libX11-common-0:1.8.9-1.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/240] libjpeg-turbo-0:3.0.2-2.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/240] libpng-2:1.6.40-3.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/240] libxcb-0:1.17.0-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/240] perl-File-Basename-0:2.86-507 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/240] perl-File-Path-0:2.18-503.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/240] perl-Getopt-Long-1:2.57-4.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/240] perl-IO-0:1.52-507.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/240] perl-PathTools-0:3.91-503.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/240] perl-interpreter-4:5.38.2-507 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/240] perl-libs-4:5.38.2-507.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/240] perl-Errno-0:1.37-507.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/240] dbus-libs-1:1.14.10-3.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/240] expat-0:2.6.2-1.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/240] libb2-0:0.98.1-11.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/240] mpdecimal-0:2.5.1-9.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/240] python-pip-wheel-0:24.0-2.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/240] tzdata-0:2024a-8.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/240] python3-rpm-generators-0:14-1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/240] perl-Carp-0:1.54-502.fc40.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/240] perl-DynaLoader-0:1.54-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/240] perl-Encode-4:3.21-505.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/240] perl-Exporter-0:5.78-3.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/240] perl-Scalar-List-Utils-5:1.63 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/240] perl-constant-0:1.33-503.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/240] perl-Fcntl-0:1.15-507.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/240] perl-File-stat-0:1.13-507.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 89/240] perl-SelectSaver-0:1.02-507.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 90/240] perl-Socket-4:2.038-1.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 91/240] perl-Symbol-0:1.09-507.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 92/240] perl-Text-ParseWords-0:3.31-5 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 93/240] perl-base-0:2.27-507.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 94/240] perl-overload-0:1.37-507.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 95/240] perl-Class-Struct-0:0.68-507. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 96/240] perl-vars-0:1.05-507.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 97/240] perl-Getopt-Std-0:1.13-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 98/240] perl-MIME-Base64-0:3.16-503.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 99/240] perl-Storable-1:3.32-502.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [100/240] perl-parent-1:0.241-502.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [101/240] libXext-0:1.3.6-1.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [102/240] guile30-0:3.0.9-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [103/240] libXau-0:1.0.11-6.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [104/240] cairo-0:1.18.0-3.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [105/240] graphite2-0:1.3.14-15.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [106/240] gc-0:8.2.2-6.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [107/240] kernel-headers-0:6.10.0-0.rc2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [108/240] libxcrypt-devel-0:4.4.36-5.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [109/240] gnutls-0:3.8.5-2.fc41.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [110/240] libmpc-0:1.3.1-5.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [111/240] annobin-plugin-gcc-0:12.54-2. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [112/240] annobin-docs-0:12.54-2.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [113/240] libasan-0:14.1.1-5.fc41.ppc64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [114/240] libatomic-0:14.1.1-5.fc41.ppc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [115/240] libubsan-0:14.1.1-5.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [116/240] default-fonts-core-sans-0:4.0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [117/240] fonts-filesystem-1:2.0.5-15.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [118/240] xml-common-0:0.6.3-63.fc40.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [119/240] abattis-cantarell-vf-fonts-0: 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [120/240] google-noto-sans-vf-fonts-0:2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [121/240] google-noto-fonts-common-0:20 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [122/240] avahi-libs-0:0.8-26.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [123/240] emacs-filesystem-1:30.0-2.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [124/240] vim-filesystem-2:9.1.452-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [125/240] jsoncpp-0:1.9.5-7.fc40.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [126/240] libuv-1:1.48.0-1.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [127/240] libXrender-0:0.9.11-6.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [128/240] pixman-0:0.43.4-1.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [129/240] python3-packaging-0:24.0-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [130/240] perl-mro-0:1.28-507.fc41.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [131/240] perl-overloading-0:0.02-507.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [132/240] nettle-0:3.9.1-6.fc40.ppc64le 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [133/240] libgfortran-0:14.1.1-5.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [134/240] libquadmath-0:14.1.1-5.fc41.p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [135/240] openblas-openmp-0:0.3.26-4.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [136/240] openblas-0:0.3.26-4.fc40.ppc6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [137/240] perl-Pod-Usage-4:2.03-504.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [138/240] perl-Pod-Perldoc-0:3.28.01-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [139/240] perl-podlators-1:5.01-502.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [140/240] groff-base-0:1.23.0-6.fc40.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [141/240] perl-File-Temp-1:0.231.100-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [142/240] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [143/240] perl-IPC-Open3-0:1.22-507.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [144/240] perl-Pod-Simple-1:3.45-6.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [145/240] perl-POSIX-0:2.13-507.fc41.pp 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [146/240] perl-IO-Socket-SSL-0:2.085-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [147/240] perl-Mozilla-CA-0:20240313-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [148/240] perl-Net-SSLeay-0:1.94-3.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [149/240] perl-Time-Local-2:1.350-5.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [150/240] perl-Term-ANSIColor-0:5.01-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [151/240] perl-Term-Cap-0:1.18-503.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [152/240] ncurses-0:6.4-12.20240127.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [153/240] perl-Pod-Escapes-1:1.07-503.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [154/240] perl-Text-Tabs+Wrap-0:2024.00 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [155/240] perl-if-0:0.61.000-507.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [156/240] perl-locale-0:1.10-507.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [157/240] perl-AutoLoader-0:5.74-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [158/240] perl-IO-Socket-IP-0:0.42-2.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [159/240] perl-URI-0:5.28-1.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [160/240] perl-Data-Dumper-0:2.189-504. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [161/240] perl-libnet-0:3.15-503.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [162/240] perl-B-0:1.88-507.fc41.ppc64l 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [163/240] perl-Digest-MD5-0:2.59-3.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [164/240] perl-FileHandle-0:2.05-507.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [165/240] perl-Digest-0:1.20-502.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [166/240] qt5-qtconfiguration-devel-0:0 100% | 129.9 KiB/s | 14.2 KiB | 00m00s [167/240] eigen3-devel-0:3.4.0-15.fc40. 100% | 7.7 MiB/s | 1.1 MiB | 00m00s [168/240] libglvnd-devel-1:1.7.0-4.fc40 100% | 1.4 MiB/s | 157.1 KiB | 00m00s [169/240] python3-setuptools-0:69.2.0-3 100% | 16.5 MiB/s | 1.4 MiB | 00m00s [170/240] icestorm-0:0-0.33.20240524git 100% | 32.7 MiB/s | 10.2 MiB | 00m00s [171/240] trellis-0:1.2.1-26.20240524gi 100% | 8.6 MiB/s | 781.4 KiB | 00m00s [172/240] trellis-data-0:1.2.1-26.20240 100% | 15.1 MiB/s | 1.1 MiB | 00m00s [173/240] qt5-qtbase-devel-0:5.15.14-1. 100% | 23.9 MiB/s | 3.3 MiB | 00m00s [174/240] trellis-devel-0:1.2.1-26.2024 100% | 3.8 MiB/s | 1.0 MiB | 00m00s [175/240] pcre2-utf16-0:10.43-2.fc41.1. 100% | 7.0 MiB/s | 230.1 KiB | 00m00s [176/240] qt5-qtbase-0:5.15.14-1.fc41.p 100% | 29.0 MiB/s | 3.8 MiB | 00m00s [177/240] qt5-qtbase-common-0:5.15.14-1 100% | 358.8 KiB/s | 11.8 KiB | 00m00s [178/240] dconf-0:0.40.0-12.fc40.ppc64l 100% | 4.3 MiB/s | 115.7 KiB | 00m00s [179/240] qt5-qtconfiguration-0:0.3.1-2 100% | 1.3 MiB/s | 69.1 KiB | 00m00s [180/240] glx-utils-0:9.0.0-7.fc41.ppc6 100% | 1.5 MiB/s | 72.7 KiB | 00m00s [181/240] qt5-qtdeclarative-0:5.15.14-1 100% | 45.6 MiB/s | 4.5 MiB | 00m00s [182/240] libX11-devel-0:1.8.9-1.fc41.p 100% | 15.1 MiB/s | 911.5 KiB | 00m00s [183/240] libX11-xcb-0:1.8.9-1.fc41.ppc 100% | 383.1 KiB/s | 11.9 KiB | 00m00s [184/240] libglvnd-egl-1:1.7.0-4.fc40.p 100% | 1.9 MiB/s | 40.6 KiB | 00m00s [185/240] libdrm-0:2.4.121-1.fc41.ppc64 100% | 4.7 MiB/s | 110.0 KiB | 00m00s [186/240] libglvnd-glx-1:1.7.0-4.fc40.p 100% | 5.5 MiB/s | 140.3 KiB | 00m00s [187/240] libinput-0:1.26.0-1.fc41.ppc6 100% | 6.9 MiB/s | 225.2 KiB | 00m00s [188/240] libxkbcommon-0:1.7.0-1.fc41.p 100% | 5.1 MiB/s | 156.9 KiB | 00m00s [189/240] libxcb-devel-0:1.17.0-1.fc41. 100% | 21.4 MiB/s | 1.1 MiB | 00m00s [190/240] libxkbcommon-x11-0:1.7.0-1.fc 100% | 842.2 KiB/s | 21.9 KiB | 00m00s [191/240] mesa-libgbm-0:24.1.1-2.fc41.p 100% | 1.9 MiB/s | 53.0 KiB | 00m00s [192/240] mesa-libEGL-0:24.1.1-2.fc41.p 100% | 6.7 MiB/s | 158.0 KiB | 00m00s [193/240] mesa-libglapi-0:24.1.1-2.fc41 100% | 2.0 MiB/s | 52.6 KiB | 00m00s [194/240] mesa-libEGL-devel-0:24.1.1-2. 100% | 1.0 MiB/s | 23.6 KiB | 00m00s [195/240] mesa-libGL-0:24.1.1-2.fc41.pp 100% | 7.4 MiB/s | 197.5 KiB | 00m00s [196/240] xcb-util-image-0:0.4.1-5.fc40 100% | 859.6 KiB/s | 19.8 KiB | 00m00s [197/240] qt5-qtbase-gui-0:5.15.14-1.fc 100% | 17.5 MiB/s | 6.9 MiB | 00m00s [198/240] xcb-util-keysyms-0:0.4.1-5.fc 100% | 299.3 KiB/s | 14.7 KiB | 00m00s [199/240] xcb-util-renderutil-0:0.3.10- 100% | 399.1 KiB/s | 18.0 KiB | 00m00s [200/240] xcb-util-wm-0:0.4.2-5.fc40.pp 100% | 1.2 MiB/s | 31.8 KiB | 00m00s [201/240] perl-English-0:1.11-507.fc41. 100% | 653.0 KiB/s | 13.7 KiB | 00m00s [202/240] perl-File-Find-0:1.43-507.fc4 100% | 1.0 MiB/s | 25.4 KiB | 00m00s [203/240] qt5-rpm-macros-0:5.15.14-1.fc 100% | 480.5 KiB/s | 10.6 KiB | 00m00s [204/240] vulkan-loader-devel-0:1.3.283 100% | 536.6 KiB/s | 12.3 KiB | 00m00s [205/240] vulkan-loader-0:1.3.283.0-2.f 100% | 7.2 MiB/s | 183.5 KiB | 00m00s [206/240] double-conversion-0:3.3.0-3.f 100% | 2.3 MiB/s | 55.4 KiB | 00m00s [207/240] libproxy-0:0.5.5-1.fc41.ppc64 100% | 1.9 MiB/s | 50.1 KiB | 00m00s [208/240] qt-settings-0:40.0-1.fc41.noa 100% | 458.9 KiB/s | 10.1 KiB | 00m00s [209/240] libwayland-server-0:1.22.0-3. 100% | 1.4 MiB/s | 44.0 KiB | 00m00s [210/240] libXxf86vm-0:1.1.5-6.fc40.ppc 100% | 763.4 KiB/s | 18.3 KiB | 00m00s [211/240] libXfixes-0:6.0.1-3.fc40.ppc6 100% | 785.1 KiB/s | 19.6 KiB | 00m00s [212/240] libxshmfence-0:1.3.2-3.fc40.p 100% | 510.6 KiB/s | 12.3 KiB | 00m00s [213/240] libwayland-client-0:1.22.0-3. 100% | 1.4 MiB/s | 33.8 KiB | 00m00s [214/240] xkeyboard-config-0:2.41-1.fc4 100% | 22.5 MiB/s | 920.7 KiB | 00m00s [215/240] libXau-devel-0:1.0.11-6.fc40. 100% | 388.8 KiB/s | 13.6 KiB | 00m00s [216/240] duktape-0:2.7.0-7.fc40.ppc64l 100% | 3.2 MiB/s | 182.3 KiB | 00m00s [217/240] libevdev-0:1.13.2-2.fc41.ppc6 100% | 560.8 KiB/s | 39.8 KiB | 00m00s [218/240] libwacom-0:2.12.0-1.fc41.ppc6 100% | 702.2 KiB/s | 49.9 KiB | 00m00s [219/240] libwacom-data-0:2.12.0-1.fc41 100% | 2.6 MiB/s | 178.4 KiB | 00m00s [220/240] mtdev-0:1.1.6-8.fc40.ppc64le 100% | 478.3 KiB/s | 22.5 KiB | 00m00s [221/240] libglvnd-1:1.7.0-4.fc40.ppc64 100% | 2.8 MiB/s | 115.2 KiB | 00m00s [222/240] libglvnd-core-devel-1:1.7.0-4 100% | 619.0 KiB/s | 17.3 KiB | 00m00s [223/240] libglvnd-opengl-1:1.7.0-4.fc4 100% | 1.4 MiB/s | 40.8 KiB | 00m00s [224/240] libglvnd-gles-1:1.7.0-4.fc40. 100% | 1.0 MiB/s | 31.1 KiB | 00m00s [225/240] xorg-x11-proto-devel-0:2024.1 100% | 10.4 MiB/s | 265.7 KiB | 00m00s [226/240] systemd-rpm-macros-0:256~rc4- 100% | 1.1 MiB/s | 31.9 KiB | 00m00s [227/240] libftdi-0:1.5-13.fc41.ppc64le 100% | 760.6 KiB/s | 46.4 KiB | 00m00s [228/240] systemd-pam-0:256~rc4-2.fc41. 100% | 10.5 MiB/s | 419.8 KiB | 00m00s [229/240] dbus-1:1.14.10-3.fc40.ppc64le 100% | 189.2 KiB/s | 7.9 KiB | 00m00s [230/240] systemd-0:256~rc4-2.fc41.ppc6 100% | 39.8 MiB/s | 5.3 MiB | 00m00s [231/240] dbus-broker-0:36-2.fc41.ppc64 100% | 3.5 MiB/s | 187.9 KiB | 00m00s [232/240] xcb-util-0:0.4.1-5.fc40.ppc64 100% | 683.3 KiB/s | 18.4 KiB | 00m00s [233/240] libusb1-0:1.0.27-2.fc41.ppc64 100% | 2.7 MiB/s | 82.6 KiB | 00m00s [234/240] libseccomp-0:2.5.3-8.fc40.ppc 100% | 3.0 MiB/s | 79.2 KiB | 00m00s [235/240] flexiblas-netlib-0:3.4.4-1.fc 100% | 22.9 MiB/s | 2.9 MiB | 00m00s [236/240] libgudev-0:238-5.fc40.ppc64le 100% | 859.9 KiB/s | 34.4 KiB | 00m00s [237/240] vulkan-headers-0:1.3.283.0-1. 100% | 16.6 MiB/s | 1.2 MiB | 00m00s [238/240] flexiblas-0:3.4.4-1.fc41.ppc6 100% | 1.2 MiB/s | 25.3 KiB | 00m00s [239/240] flexiblas-openblas-openmp-0:3 100% | 761.6 KiB/s | 16.8 KiB | 00m00s [240/240] dbus-common-1:1.14.10-3.fc40. 100% | 547.4 KiB/s | 14.8 KiB | 00m00s -------------------------------------------------------------------------------- [240/240] Total 100% | 34.1 MiB/s | 50.7 MiB | 00m01s Running transaction [ 1/242] Verify package files 100% | 103.0 B/s | 240.0 B | 00m02s [ 2/242] Prepare transaction 100% | 394.0 B/s | 240.0 B | 00m01s [ 3/242] Installing boost-system-0:1.8 100% | 64.1 MiB/s | 197.0 KiB | 00m00s [ 4/242] Installing boost-thread-0:1.8 100% | 86.3 MiB/s | 265.2 KiB | 00m00s [ 5/242] Installing cmake-filesystem-0 100% | 2.3 MiB/s | 7.1 KiB | 00m00s [ 6/242] Installing boost-chrono-0:1.8 100% | 96.8 MiB/s | 198.3 KiB | 00m00s [ 7/242] Installing expat-0:2.6.2-1.fc 100% | 98.1 MiB/s | 602.7 KiB | 00m00s [ 8/242] Installing libicu-0:74.2-1.fc 100% | 149.8 MiB/s | 36.8 MiB | 00m00s [ 9/242] Installing libglvnd-1:1.7.0-4 100% | 169.7 MiB/s | 1.0 MiB | 00m00s [ 10/242] Installing libdrm-0:2.4.121-1 100% | 121.6 MiB/s | 871.9 KiB | 00m00s [ 11/242] Installing libX11-xcb-0:1.8.9 100% | 95.5 MiB/s | 195.5 KiB | 00m00s [ 12/242] Installing boost-regex-0:1.83 100% | 96.5 MiB/s | 395.2 KiB | 00m00s [ 13/242] Installing fonts-filesystem-1 100% | 769.5 KiB/s | 788.0 B | 00m00s [ 14/242] Installing libmpc-0:1.3.1-5.f 100% | 113.0 MiB/s | 347.1 KiB | 00m00s [ 15/242] Installing libpng-2:1.6.40-3. 100% | 97.4 MiB/s | 399.0 KiB | 00m00s [ 16/242] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 17/242] Installing boost-context-0:1. 100% | 96.3 MiB/s | 197.2 KiB | 00m00s [ 18/242] Installing boost-atomic-0:1.8 100% | 96.5 MiB/s | 197.7 KiB | 00m00s [ 19/242] Installing boost-filesystem-0 100% | 85.9 MiB/s | 263.8 KiB | 00m00s [ 20/242] Installing python3-rpm-macros 100% | 6.5 MiB/s | 6.7 KiB | 00m00s [ 21/242] Installing libgfortran-0:14.1 100% | 153.9 MiB/s | 2.5 MiB | 00m00s [ 22/242] Installing xorg-x11-proto-dev 100% | 74.3 MiB/s | 1.8 MiB | 00m00s [ 23/242] Installing libevdev-0:1.13.2- 100% | 97.2 MiB/s | 199.1 KiB | 00m00s [ 24/242] Installing libXau-0:1.0.11-6. 100% | 79.4 MiB/s | 244.1 KiB | 00m00s [ 25/242] Installing libxcb-0:1.17.0-1. 100% | 178.1 MiB/s | 5.2 MiB | 00m00s [ 26/242] Installing libxshmfence-0:1.3 100% | 95.7 MiB/s | 195.9 KiB | 00m00s [ 27/242] Installing libwayland-server- 100% | 97.5 MiB/s | 199.6 KiB | 00m00s [ 28/242] Installing mesa-libgbm-0:24.1 100% | 96.7 MiB/s | 198.1 KiB | 00m00s [ 29/242] Installing dbus-libs-1:1.14.1 100% | 108.3 MiB/s | 554.3 KiB | 00m00s [ 30/242] Installing mesa-libglapi-0:24 100% | 162.8 MiB/s | 333.4 KiB | 00m00s [ 31/242] Installing libICE-0:1.1.1-3.f 100% | 55.1 MiB/s | 338.4 KiB | 00m00s [ 32/242] Installing trellis-data-0:1.2 100% | 175.5 MiB/s | 81.4 MiB | 00m00s [ 33/242] Installing boost-date-time-0: 100% | 96.2 MiB/s | 197.0 KiB | 00m00s [ 34/242] Installing boost-container-0: 100% | 96.8 MiB/s | 198.3 KiB | 00m00s [ 35/242] Installing boost-program-opti 100% | 81.1 MiB/s | 332.1 KiB | 00m00s [ 36/242] Installing trellis-0:1.2.1-26 100% | 90.0 MiB/s | 3.3 MiB | 00m00s [ 37/242] Installing boost-json-0:1.83. 100% | 111.6 MiB/s | 457.0 KiB | 00m00s [ 38/242] Installing boost-wave-0:1.83. 100% | 139.2 MiB/s | 855.1 KiB | 00m00s [ 39/242] Installing libSM-0:1.2.4-3.fc 100% | 124.3 MiB/s | 254.6 KiB | 00m00s [ 40/242] Installing avahi-libs-0:0.8-2 100% | 150.5 MiB/s | 616.4 KiB | 00m00s [ 41/242] Installing xcb-util-keysyms-0 100% | 96.4 MiB/s | 197.5 KiB | 00m00s [ 42/242] Installing xcb-util-renderuti 100% | 98.5 MiB/s | 201.8 KiB | 00m00s [ 43/242] Installing xcb-util-wm-0:0.4. 100% | 128.5 MiB/s | 394.8 KiB | 00m00s [ 44/242] Installing xcb-util-0:0.4.1-5 100% | 97.4 MiB/s | 199.5 KiB | 00m00s [ 45/242] Installing xcb-util-image-0:0 100% | 97.3 MiB/s | 199.3 KiB | 00m00s [ 46/242] Installing libXau-devel-0:1.0 100% | 1.0 MiB/s | 8.2 KiB | 00m00s [ 47/242] Installing libxcb-devel-0:1.1 100% | 20.1 MiB/s | 3.1 MiB | 00m00s [ 48/242] Installing pyproject-rpm-macr 100% | 49.6 MiB/s | 101.6 KiB | 00m00s [ 49/242] Installing boost-fiber-0:1.83 100% | 97.2 MiB/s | 199.2 KiB | 00m00s [ 50/242] Installing boost-log-0:1.83.0 100% | 148.2 MiB/s | 1.9 MiB | 00m00s [ 51/242] Installing boost-coroutine-0: 100% | 96.7 MiB/s | 198.1 KiB | 00m00s [ 52/242] Installing cpp-0:14.1.1-5.fc4 100% | 148.5 MiB/s | 32.4 MiB | 00m00s [ 53/242] Installing abattis-cantarell- 100% | 63.3 MiB/s | 194.4 KiB | 00m00s [ 54/242] Installing boost-graph-0:1.83 100% | 128.5 MiB/s | 526.2 KiB | 00m00s [ 55/242] Installing libglvnd-opengl-1: 100% | 128.1 MiB/s | 393.6 KiB | 00m00s [ 56/242] Installing boost-locale-0:1.8 100% | 119.0 MiB/s | 853.3 KiB | 00m00s [ 57/242] Installing libicu-devel-0:74. 100% | 95.4 MiB/s | 5.8 MiB | 00m00s [ 58/242] Installing boost-timer-0:1.83 100% | 97.1 MiB/s | 198.9 KiB | 00m00s [ 59/242] Installing boost-type_erasure 100% | 97.2 MiB/s | 199.0 KiB | 00m00s [ 60/242] Installing ncurses-0:6.4-12.2 100% | 91.8 MiB/s | 1.7 MiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.ppc64le >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.ppc64le [ 61/242] Installing groff-base-0:1.23. 100% | 102.8 MiB/s | 5.4 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.ppc64le >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.ppc64le [ 62/242] Installing perl-Digest-0:1.20 100% | 18.0 MiB/s | 37.0 KiB | 00m00s [ 63/242] Installing perl-B-0:1.88-507. 100% | 98.9 MiB/s | 607.7 KiB | 00m00s [ 64/242] Installing perl-FileHandle-0: 100% | 9.5 MiB/s | 9.8 KiB | 00m00s [ 65/242] Installing perl-Digest-MD5-0: 100% | 76.0 MiB/s | 233.3 KiB | 00m00s [ 66/242] Installing perl-Data-Dumper-0 100% | 86.4 MiB/s | 265.3 KiB | 00m00s [ 67/242] Installing perl-libnet-0:3.15 100% | 57.5 MiB/s | 294.3 KiB | 00m00s [ 68/242] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 69/242] Installing perl-URI-0:5.28-1. 100% | 35.1 MiB/s | 251.8 KiB | 00m00s [ 70/242] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 71/242] Installing perl-Mozilla-CA-0: 100% | 10.2 MiB/s | 10.5 KiB | 00m00s [ 72/242] Installing perl-Time-Local-2: 100% | 34.4 MiB/s | 70.5 KiB | 00m00s [ 73/242] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 74/242] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.8 KiB | 00m00s [ 75/242] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 76/242] Installing perl-locale-0:1.10 100% | 6.5 MiB/s | 6.6 KiB | 00m00s [ 77/242] Installing perl-Net-SSLeay-0: 100% | 90.0 MiB/s | 1.6 MiB | 00m00s [ 78/242] Installing perl-IO-Socket-IP- 100% | 49.0 MiB/s | 100.4 KiB | 00m00s [ 79/242] Installing perl-IO-Socket-SSL 100% | 96.1 MiB/s | 689.0 KiB | 00m00s [ 80/242] Installing perl-Class-Struct- 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 81/242] Installing perl-Term-ANSIColo 100% | 48.4 MiB/s | 99.1 KiB | 00m00s [ 82/242] Installing perl-Term-Cap-0:1. 100% | 29.8 MiB/s | 30.5 KiB | 00m00s [ 83/242] Installing perl-File-Temp-1:0 100% | 53.4 MiB/s | 164.0 KiB | 00m00s [ 84/242] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 85/242] Installing perl-POSIX-0:2.13- 100% | 95.5 MiB/s | 391.2 KiB | 00m00s [ 86/242] Installing perl-Pod-Simple-1: 100% | 69.5 MiB/s | 569.4 KiB | 00m00s [ 87/242] Installing perl-HTTP-Tiny-0:0 100% | 50.2 MiB/s | 154.2 KiB | 00m00s [ 88/242] Installing perl-Socket-4:2.03 100% | 89.1 MiB/s | 273.7 KiB | 00m00s [ 89/242] Installing perl-SelectSaver-0 100% | 2.5 MiB/s | 2.6 KiB | 00m00s [ 90/242] Installing perl-Symbol-0:1.09 100% | 7.0 MiB/s | 7.2 KiB | 00m00s [ 91/242] Installing perl-File-stat-0:1 100% | 12.9 MiB/s | 13.2 KiB | 00m00s [ 92/242] Installing perl-podlators-1:5 100% | 76.2 MiB/s | 312.1 KiB | 00m00s [ 93/242] Installing perl-Pod-Perldoc-0 100% | 41.2 MiB/s | 168.6 KiB | 00m00s [ 94/242] Installing perl-Fcntl-0:1.15- 100% | 98.4 MiB/s | 201.4 KiB | 00m00s [ 95/242] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.5 KiB | 00m00s [ 96/242] Installing perl-base-0:2.27-5 100% | 12.6 MiB/s | 12.9 KiB | 00m00s [ 97/242] Installing perl-mro-0:1.28-50 100% | 102.7 MiB/s | 210.4 KiB | 00m00s [ 98/242] Installing perl-IO-0:1.52-507 100% | 78.8 MiB/s | 322.9 KiB | 00m00s [ 99/242] Installing perl-overloading-0 100% | 5.4 MiB/s | 5.5 KiB | 00m00s [100/242] Installing perl-Pod-Usage-4:2 100% | 42.1 MiB/s | 86.3 KiB | 00m00s [101/242] Installing perl-Errno-0:1.37- 100% | 8.6 MiB/s | 8.8 KiB | 00m00s [102/242] Installing perl-Scalar-List-U 100% | 68.5 MiB/s | 280.5 KiB | 00m00s [103/242] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [104/242] Installing perl-vars-0:1.05-5 100% | 4.2 MiB/s | 4.3 KiB | 00m00s [105/242] Installing perl-Getopt-Std-0: 100% | 11.4 MiB/s | 11.6 KiB | 00m00s [106/242] Installing perl-MIME-Base64-0 100% | 72.9 MiB/s | 224.0 KiB | 00m00s [107/242] Installing perl-parent-1:0.24 100% | 10.2 MiB/s | 10.4 KiB | 00m00s [108/242] Installing perl-Storable-1:3. 100% | 121.7 MiB/s | 373.8 KiB | 00m00s [109/242] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [110/242] Installing perl-Getopt-Long-1 100% | 71.7 MiB/s | 146.9 KiB | 00m00s [111/242] Installing perl-File-Basename 100% | 14.2 MiB/s | 14.6 KiB | 00m00s [112/242] Installing perl-Carp-0:1.54-5 100% | 46.5 MiB/s | 47.7 KiB | 00m00s [113/242] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [114/242] Installing perl-PathTools-0:3 100% | 69.5 MiB/s | 356.1 KiB | 00m00s [115/242] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [116/242] Installing perl-Encode-4:3.21 100% | 147.6 MiB/s | 5.9 MiB | 00m00s [117/242] Installing perl-libs-4:5.38.2 100% | 101.1 MiB/s | 11.7 MiB | 00m00s [118/242] Installing perl-interpreter-4 100% | 98.1 MiB/s | 301.3 KiB | 00m00s [119/242] Installing perl-English-0:1.1 100% | 6.5 MiB/s | 6.6 KiB | 00m00s [120/242] Installing perl-File-Find-0:1 100% | 41.4 MiB/s | 42.4 KiB | 00m00s [121/242] Installing openblas-0:0.3.26- 100% | 47.8 MiB/s | 97.8 KiB | 00m00s [122/242] Installing openblas-openmp-0: 100% | 162.9 MiB/s | 23.6 MiB | 00m00s [123/242] Installing dbus-common-1:1.14 100% | 308.0 KiB/s | 13.6 KiB | 00m00s >>> Running post-install scriptlet: dbus-common-1:1.14.10-3.fc40.noarch >>> Stop post-install scriptlet: dbus-common-1:1.14.10-3.fc40.noarch >>> Running pre-install scriptlet: dbus-broker-0:36-2.fc41.ppc64le >>> Stop pre-install scriptlet: dbus-broker-0:36-2.fc41.ppc64le [124/242] Installing dbus-broker-0:36-2 100% | 51.9 MiB/s | 744.6 KiB | 00m00s >>> Running post-install scriptlet: dbus-broker-0:36-2.fc41.ppc64le >>> Stop post-install scriptlet: dbus-broker-0:36-2.fc41.ppc64le [125/242] Installing dbus-1:1.14.10-3.f 100% | 0.0 B/s | 124.0 B | 00m00s [126/242] Installing libquadmath-0:14.1 100% | 96.1 MiB/s | 491.8 KiB | 00m00s [127/242] Installing flexiblas-0:3.4.4- 100% | 48.5 MiB/s | 49.7 KiB | 00m00s [128/242] Installing flexiblas-openblas 100% | 95.6 MiB/s | 195.8 KiB | 00m00s [129/242] Installing flexiblas-netlib-0 100% | 153.5 MiB/s | 12.6 MiB | 00m00s [130/242] Installing nettle-0:3.9.1-6.f 100% | 110.8 MiB/s | 1.0 MiB | 00m00s [131/242] Installing gnutls-0:3.8.5-2.f 100% | 124.6 MiB/s | 3.6 MiB | 00m00s [132/242] Installing glib2-0:2.80.2-1.f 100% | 143.0 MiB/s | 17.5 MiB | 00m00s [133/242] Installing dconf-0:0.40.0-12. 100% | 61.9 MiB/s | 824.1 KiB | 00m00s >>> Running post-install scriptlet: dconf-0:0.40.0-12.fc40.ppc64le >>> Stop post-install scriptlet: dconf-0:0.40.0-12.fc40.ppc64le [134/242] Installing libgudev-0:238-5.f 100% | 76.0 MiB/s | 233.3 KiB | 00m00s [135/242] Installing cups-libs-1:2.4.8- 100% | 136.3 MiB/s | 1.1 MiB | 00m00s [136/242] Installing libseccomp-0:2.5.3 100% | 100.6 MiB/s | 309.0 KiB | 00m00s [137/242] Installing libusb1-0:1.0.27-2 100% | 75.2 MiB/s | 307.8 KiB | 00m00s [138/242] Installing pixman-0:0.43.4-1. 100% | 116.9 MiB/s | 718.2 KiB | 00m00s [139/242] Installing libuv-1:1.48.0-1.f 100% | 106.3 MiB/s | 653.4 KiB | 00m00s [140/242] Installing jsoncpp-0:1.9.5-7. 100% | 82.3 MiB/s | 337.2 KiB | 00m00s [141/242] Installing vim-filesystem-2:9 100% | 2.3 MiB/s | 4.7 KiB | 00m00s [142/242] Installing emacs-filesystem-1 100% | 531.2 KiB/s | 544.0 B | 00m00s [143/242] Installing google-noto-fonts- 100% | 17.8 MiB/s | 18.3 KiB | 00m00s [144/242] Installing google-noto-sans-v 100% | 113.5 MiB/s | 1.2 MiB | 00m00s [145/242] Installing default-fonts-core 100% | 2.0 MiB/s | 18.2 KiB | 00m00s >>> Running pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch >>> Stop pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch [146/242] Installing xml-common-0:0.6.3 100% | 26.4 MiB/s | 81.1 KiB | 00m00s [147/242] Installing libubsan-0:14.1.1- 100% | 108.9 MiB/s | 669.4 KiB | 00m00s [148/242] Installing libatomic-0:14.1.1 100% | 96.1 MiB/s | 196.9 KiB | 00m00s [149/242] Installing libasan-0:14.1.1-5 100% | 141.3 MiB/s | 2.0 MiB | 00m00s [150/242] Installing annobin-docs-0:12. 100% | 19.0 MiB/s | 97.3 KiB | 00m00s [151/242] Installing kernel-headers-0:6 100% | 62.8 MiB/s | 6.4 MiB | 00m00s [152/242] Installing libxcrypt-devel-0: 100% | 8.0 MiB/s | 32.6 KiB | 00m00s [153/242] Installing glibc-devel-0:2.39 100% | 61.8 MiB/s | 2.7 MiB | 00m00s [154/242] Installing gc-0:8.2.2-6.fc40. 100% | 111.9 MiB/s | 916.5 KiB | 00m00s [155/242] Installing guile30-0:3.0.9-1. 100% | 142.8 MiB/s | 53.4 MiB | 00m00s [156/242] Installing make-1:4.4.1-6.fc4 100% | 95.7 MiB/s | 1.9 MiB | 00m00s [157/242] Installing gcc-0:14.1.1-5.fc4 100% | 141.7 MiB/s | 92.8 MiB | 00m01s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [158/242] Installing graphite2-0:1.3.14 100% | 121.5 MiB/s | 497.7 KiB | 00m00s [159/242] Installing libglvnd-core-deve 100% | 40.1 MiB/s | 41.1 KiB | 00m00s [160/242] Installing mtdev-0:1.1.6-8.fc 100% | 48.4 MiB/s | 198.2 KiB | 00m00s [161/242] Installing libwacom-data-0:2. 100% | 28.6 MiB/s | 1.3 MiB | 00m00s [162/242] Installing duktape-0:2.7.0-7. 100% | 147.9 MiB/s | 1.0 MiB | 00m00s [163/242] Installing libproxy-0:0.5.5-1 100% | 84.6 MiB/s | 433.1 KiB | 00m00s [164/242] Installing xkeyboard-config-0 100% | 102.0 MiB/s | 6.6 MiB | 00m00s [165/242] Installing libxkbcommon-0:1.7 100% | 129.2 MiB/s | 661.6 KiB | 00m00s [166/242] Installing systemd-pam-0:256~ 100% | 111.4 MiB/s | 1.7 MiB | 00m00s [167/242] Installing systemd-0:256~rc4- 100% | 57.1 MiB/s | 29.9 MiB | 00m01s >>> Running post-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop post-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le [168/242] Installing libftdi-0:1.5-13.f 100% | 109.0 MiB/s | 223.2 KiB | 00m00s [169/242] Installing libxkbcommon-x11-0 100% | 95.8 MiB/s | 196.2 KiB | 00m00s [170/242] Installing libwayland-client- 100% | 97.3 MiB/s | 199.2 KiB | 00m00s [171/242] Installing libglvnd-egl-1:1.7 100% | 96.9 MiB/s | 198.4 KiB | 00m00s [172/242] Installing mesa-libEGL-0:24.1 100% | 102.5 MiB/s | 525.0 KiB | 00m00s [173/242] Installing libglvnd-gles-1:1. 100% | 79.5 MiB/s | 651.4 KiB | 00m00s [174/242] Installing tzdata-0:2024a-8.f 100% | 17.0 MiB/s | 1.9 MiB | 00m00s [175/242] Installing python-pip-wheel-0 100% | 169.8 MiB/s | 1.5 MiB | 00m00s [176/242] Installing mpdecimal-0:2.5.1- 100% | 107.4 MiB/s | 330.0 KiB | 00m00s [177/242] Installing libb2-0:0.98.1-11. 100% | 18.0 MiB/s | 203.2 KiB | 00m00s [178/242] Installing python3-libs-0:3.1 100% | 102.9 MiB/s | 51.9 MiB | 00m01s [179/242] Installing python3-0:3.12.3-2 100% | 41.6 MiB/s | 213.1 KiB | 00m00s [180/242] Installing boost-python3-0:1. 100% | 64.8 MiB/s | 398.3 KiB | 00m00s [181/242] Installing cmake-rpm-macros-0 100% | 678.4 KiB/s | 8.1 KiB | 00m00s [182/242] Installing python3-numpy-1:1. 100% | 93.0 MiB/s | 44.5 MiB | 00m00s [183/242] Installing boost-numpy3-0:1.8 100% | 96.8 MiB/s | 198.3 KiB | 00m00s [184/242] Installing libwacom-0:2.12.0- 100% | 99.8 MiB/s | 408.8 KiB | 00m00s [185/242] Installing libinput-0:1.26.0- 100% | 82.6 MiB/s | 1.7 MiB | 00m00s >>> Running post-install scriptlet: libinput-0:1.26.0-1.fc41.ppc64le >>> Stop post-install scriptlet: libinput-0:1.26.0-1.fc41.ppc64le [186/242] Installing vulkan-headers-0:1 100% | 210.2 MiB/s | 26.1 MiB | 00m00s [187/242] Installing python3-packaging- 100% | 60.8 MiB/s | 435.6 KiB | 00m00s [188/242] Installing python3-rpm-genera 100% | 81.0 MiB/s | 82.9 KiB | 00m00s [189/242] Installing qt-settings-0:40.0 100% | 1.6 MiB/s | 1.7 KiB | 00m00s [190/242] Installing double-conversion- 100% | 87.9 MiB/s | 270.1 KiB | 00m00s [191/242] Installing vulkan-loader-0:1. 100% | 130.6 MiB/s | 935.8 KiB | 00m00s [192/242] Installing vulkan-loader-deve 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [193/242] Installing libjpeg-turbo-0:3. 100% | 120.4 MiB/s | 986.2 KiB | 00m00s [194/242] Installing libX11-common-0:1. 100% | 45.6 MiB/s | 1.2 MiB | 00m00s [195/242] Installing libX11-0:1.8.9-1.f 100% | 132.8 MiB/s | 1.6 MiB | 00m00s [196/242] Installing libXext-0:1.3.6-1. 100% | 134.3 MiB/s | 275.1 KiB | 00m00s [197/242] Installing libXxf86vm-0:1.1.5 100% | 38.8 MiB/s | 198.5 KiB | 00m00s [198/242] Installing libX11-devel-0:1.8 100% | 20.0 MiB/s | 1.1 MiB | 00m00s [199/242] Installing libXfixes-0:6.0.1- 100% | 97.4 MiB/s | 199.5 KiB | 00m00s [200/242] Installing libglvnd-glx-1:1.7 100% | 155.0 MiB/s | 1.1 MiB | 00m00s [201/242] Installing mesa-libGL-0:24.1. 100% | 118.3 MiB/s | 727.0 KiB | 00m00s [202/242] Installing libglvnd-devel-1:1 100% | 163.1 MiB/s | 2.1 MiB | 00m00s [203/242] Installing mesa-libEGL-devel- 100% | 21.6 MiB/s | 22.1 KiB | 00m00s [204/242] Installing glx-utils-0:9.0.0- 100% | 138.0 MiB/s | 848.0 KiB | 00m00s [205/242] Installing libXrender-0:0.9.1 100% | 97.3 MiB/s | 199.2 KiB | 00m00s [206/242] Installing cairo-0:1.18.0-3.f 100% | 130.6 MiB/s | 2.2 MiB | 00m00s [207/242] Installing harfbuzz-0:8.4.0-1 100% | 140.0 MiB/s | 3.5 MiB | 00m00s [208/242] Installing freetype-0:2.13.2- 100% | 111.0 MiB/s | 1.1 MiB | 00m00s [209/242] Installing fontconfig-0:2.15. 100% | 2.4 MiB/s | 2.5 MiB | 00m01s >>> Running post-install scriptlet: fontconfig-0:2.15.0-6.fc41.ppc64le >>> Stop post-install scriptlet: fontconfig-0:2.15.0-6.fc41.ppc64le [210/242] Installing pcre2-utf16-0:10.4 100% | 108.0 MiB/s | 774.5 KiB | 00m00s [211/242] Installing qt5-qtbase-common- 100% | 69.5 KiB/s | 356.0 B | 00m00s >>> Running pre-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.ppc64le >>> Stop pre-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.ppc64le [212/242] Installing qt5-qtbase-0:5.15. 100% | 101.1 MiB/s | 13.6 MiB | 00m00s >>> Running post-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.ppc64le >>> Stop post-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.ppc64le [213/242] Installing qt5-qtbase-gui-0:5 100% | 138.3 MiB/s | 28.6 MiB | 00m00s [214/242] Installing qt5-qtdeclarative- 100% | 157.5 MiB/s | 22.1 MiB | 00m00s [215/242] Installing qt5-qtconfiguratio 100% | 93.5 MiB/s | 478.6 KiB | 00m00s [216/242] Installing boost-test-0:1.83. 100% | 112.5 MiB/s | 922.0 KiB | 00m00s [217/242] Installing boost-stacktrace-0 100% | 143.9 MiB/s | 589.3 KiB | 00m00s [218/242] Installing boost-serializatio 100% | 118.0 MiB/s | 724.7 KiB | 00m00s [219/242] Installing boost-random-0:1.8 100% | 96.9 MiB/s | 198.5 KiB | 00m00s [220/242] Installing boost-nowide-0:1.8 100% | 96.6 MiB/s | 197.8 KiB | 00m00s [221/242] Installing boost-math-0:1.83. 100% | 154.2 MiB/s | 1.9 MiB | 00m00s [222/242] Installing boost-contract-0:1 100% | 51.5 MiB/s | 263.6 KiB | 00m00s [223/242] Installing libstdc++-devel-0: 100% | 114.5 MiB/s | 15.5 MiB | 00m00s [224/242] Installing gcc-c++-0:14.1.1-5 100% | 147.4 MiB/s | 36.1 MiB | 00m00s [225/242] Installing rhash-0:1.4.4-1.fc 100% | 42.7 MiB/s | 655.2 KiB | 00m00s [226/242] Installing cmake-data-0:3.28. 100% | 33.7 MiB/s | 8.5 MiB | 00m00s [227/242] Installing cmake-0:3.28.3-5.f 100% | 145.9 MiB/s | 34.1 MiB | 00m00s [228/242] Installing qt5-rpm-macros-0:5 100% | 342.1 KiB/s | 2.4 KiB | 00m00s [229/242] Installing qt5-qtbase-devel-0 100% | 79.0 MiB/s | 22.8 MiB | 00m00s [230/242] Installing boost-iostreams-0: 100% | 64.8 MiB/s | 199.1 KiB | 00m00s [231/242] Installing boost-0:1.83.0-7.f 100% | 32.4 KiB/s | 1.7 KiB | 00m00s [232/242] Installing boost-devel-0:1.83 100% | 76.9 MiB/s | 145.8 MiB | 00m02s [233/242] Installing qt5-qtconfiguratio 100% | 11.0 MiB/s | 22.6 KiB | 00m00s [234/242] Installing python3-devel-0:3. 100% | 55.5 MiB/s | 1.3 MiB | 00m00s [235/242] Installing icestorm-0:0-0.33. 100% | 191.7 MiB/s | 111.5 MiB | 00m01s [236/242] Installing python3-setuptools 100% | 86.1 MiB/s | 7.3 MiB | 00m00s [237/242] Installing trellis-devel-0:1. 100% | 149.5 MiB/s | 4.8 MiB | 00m00s [238/242] Installing add-determinism-0: 100% | 132.8 MiB/s | 2.7 MiB | 00m00s [239/242] Installing gcc-plugin-annobin 100% | 10.2 MiB/s | 198.5 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [240/242] Installing annobin-plugin-gcc 100% | 41.9 MiB/s | 1.1 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [241/242] Installing eigen3-devel-0:3.4 100% | 95.2 MiB/s | 8.5 MiB | 00m00s [242/242] Installing systemd-rpm-macros 100% | 18.9 KiB/s | 9.9 KiB | 00m01s >>> Running post-transaction scriptlet: dconf-0:0.40.0-12.fc40.ppc64le >>> Stop post-transaction scriptlet: dconf-0:0.40.0-12.fc40.ppc64le >>> Running post-transaction scriptlet: fontconfig-0:2.15.0-6.fc41.ppc64le >>> Stop post-transaction scriptlet: fontconfig-0:2.15.0-6.fc41.ppc64le >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.ppc64le >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.ppc64le >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.ppc64le >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.ppc64le >>> Running trigger-install scriptlet: glib2-0:2.80.2-1.fc41.ppc64le >>> Stop trigger-install scriptlet: glib2-0:2.80.2-1.fc41.ppc64le >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.ppc64le >>> Running trigger-install scriptlet: fontconfig-0:2.15.0-6.fc41.ppc64le >>> Stop trigger-install scriptlet: fontconfig-0:2.15.0-6.fc41.ppc64le Warning: skipped PGP checks for 240 packages from repositories: copr_base, http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1717804800 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.OboS8B + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + /usr/bin/rm -rf /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.xkgG5x + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd /builddir/build/BUILD/nextpnr-1-build + rm -rf nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/nextpnr-b7f91e5.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.thUsVq + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -S . -B redhat-linux-build -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON -DARCH=all -DICEBOX_DATADIR=/usr/share/icestorm -DTRELLIS_LIBDIR=/usr/lib64/trellis -DBUILD_GUI=ON -DUSE_OPENMP=ON -- The CXX compiler identification is GNU 14.1.1 -- The C compiler identification is GNU 14.1.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found OpenGL: /usr/lib64/libOpenGL.so -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb -- Configuring done (3.9s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build + /usr/bin/cmake --build redhat-linux-build -j5 --verbose Change Dir: '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j5 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 -B/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target gui_ice40_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/depend [ 0%] Generating chipdb/chipdb-384.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" [ 0%] Built target gui_generic_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/g++ -Dbbasm_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba/main.cc [ 1%] Built target QtPropertyBrowser_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake "--color=" [ 1%] Built target gui_ecp5_autogen_timestamp_deps /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json Release [ 2%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json "" [ 3%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include AutoGen: Refreshing parse cache because it doesn't exist. AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/generic/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target QtPropertyBrowser_autogen /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Automatic MOC for target gui_ecp5 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/generic/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.h cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 5%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ecp5/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h [ 5%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Built target bbasm /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/lib64/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" Dependencies file "generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target QtPropertyBrowser AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Built target gui_ice40_autogen [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Built target gui_generic_autogen /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/nextpnr.qrc [ 6%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ice40/gui_ice40_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 7%] Built target gui_ecp5_autogen /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 7%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc'. [ 7%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/generic/gui_generic_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_generic gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 8%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 9%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/nextpnr.qrc [ 9%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ecp5/gui_ecp5_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ecp5 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 9%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o -MF CMakeFiles/gui_generic.dir/application.cc.o.d -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 9%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new [ 9%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib" libQtPropertyBrowser.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 9%] Built target QtPropertyBrowser [ 9%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o -MF CMakeFiles/gui_ecp5.dir/application.cc.o.d -o CMakeFiles/gui_ecp5.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 10%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 11%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o -MF CMakeFiles/gui_ecp5.dir/basewindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o -MF CMakeFiles/gui_generic.dir/basewindow.cc.o.d -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 12%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/designwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 12%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 12%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o -MF CMakeFiles/gui_generic.dir/designwidget.cc.o.d -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 13%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o -MF CMakeFiles/gui_ecp5.dir/line_editor.cc.o.d -o CMakeFiles/gui_ecp5.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 13%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 14%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o -MF CMakeFiles/gui_ecp5.dir/lineshader.cc.o.d -o CMakeFiles/gui_ecp5.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 14%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -MF CMakeFiles/gui_ecp5.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 15%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o -MF CMakeFiles/gui_ecp5.dir/pythontab.cc.o.d -o CMakeFiles/gui_ecp5.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 16%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o -MF CMakeFiles/gui_generic.dir/line_editor.cc.o.d -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 16%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o -MF CMakeFiles/gui_ecp5.dir/treemodel.cc.o.d -o CMakeFiles/gui_ecp5.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 16%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 16%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o -MF CMakeFiles/gui_ecp5.dir/worker.cc.o.d -o CMakeFiles/gui_ecp5.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 16%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o -MF CMakeFiles/gui_generic.dir/lineshader.cc.o.d -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 17%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.cc [ 18%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 18%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o -MF CMakeFiles/gui_generic.dir/pyconsole.cc.o.d -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 19%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o -MF CMakeFiles/gui_generic.dir/pythontab.cc.o.d -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 19%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 19%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 20%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 20%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 20%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o -MF CMakeFiles/gui_generic.dir/treemodel.cc.o.d -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 20%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 21%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 21%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 21%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 22%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 22%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o -MF CMakeFiles/gui_generic.dir/worker.cc.o.d -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 22%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 22%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 23%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -MF CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o.d -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.cc [ 24%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 24%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 24%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp [ 25%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 25%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 25%] Linking CXX static library libgui_ecp5.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -P CMakeFiles/gui_ecp5.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ecp5.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ecp5.a CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ecp5.dir/application.cc.o CMakeFiles/gui_ecp5.dir/basewindow.cc.o CMakeFiles/gui_ecp5.dir/designwidget.cc.o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ecp5.dir/line_editor.cc.o CMakeFiles/gui_ecp5.dir/lineshader.cc.o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o CMakeFiles/gui_ecp5.dir/pythontab.cc.o CMakeFiles/gui_ecp5.dir/treemodel.cc.o CMakeFiles/gui_ecp5.dir/worker.cc.o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ecp5.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 26%] Built target gui_ecp5 [ 27%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 27%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.cc [ 28%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 29%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 29%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 29%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 30%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 31%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 31%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 31%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 32%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 32%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 33%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp [ 33%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp [ 33%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 33%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o [ 34%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp "/usr/bin/gcc-ranlib" libgui_generic.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 35%] Built target gui_generic [ 35%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 35%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 36%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 36%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 36%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 38%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp [ 38%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 38%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 39%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ice40.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 40%] Built target gui_ice40 [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 50%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch_pybindings.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/cells.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/main.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/pack.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_api.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_helpers.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/example/example.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/okami/okami.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fabulous.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fasm.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/pack.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/validity_check.cc [ 57%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 57%] Built target nextpnr-generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 57%] Built target chipdb-ice40-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 58%] Generating ice40/chipdb/chipdb-1k.cc [ 58%] Generating ice40/chipdb/chipdb-384.cc [ 58%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 58%] Generating chipdb/chipdb-25k.bba bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 59%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 59%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Built target chipdb-ice40-bins /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.cc /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 62%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_place.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_pybindings.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/bitstream.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/cells.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chains.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/delay.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/main.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pack.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pcf.cc [ 79%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 80%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Built target nextpnr-ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 80%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Built target chipdb-ecp5-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 81%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc [ 81%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 81%] Built target chipdb-ecp5-bins /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.cc [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.cc /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 83%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_place.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_pybindings.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/baseconfigs.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/bitstream.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/cells.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/config.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pack.cc [100%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pio.cc [100%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ecp5 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles 0 + mkdir -p examples/ice40 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.dEcqH9 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + '[' /builddir/build/BUILD/nextpnr-1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/nextpnr-1-build/BUILDROOT ++ dirname /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/nextpnr-1-build + mkdir /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DESTDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT + /usr/bin/cmake --install redhat-linux-build -- Install configuration: "Release" -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ecp5 + /usr/bin/find-debuginfo -j5 --strict-build-id -m -i --build-id-seed 1-41.20240524gitb7f91e5.fc41 --unique-debug-suffix -1-41.20240524gitb7f91e5.fc41.ppc64le --unique-debug-src-base nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 find-debuginfo: starting Extracting debug info from 3 files DWARF-compressing 3 files sepdebugcrcfix: Updated 3 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le 1544032 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j5 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j5 /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Cannot initialize handler pyc: ModuleNotFoundError: No module named 'marshalparser' [src/multiprocess.rs:66:9] &cmd = Command { program: "/usr/bin/add-determinism", args: [ "/usr/bin/add-determinism", "--socket", "3", "--brp", "--handler", "ar,jar,javadoc", ], env: CommandEnv { clear: false, vars: { "SOURCE_DATE_EPOCH": Some( "1717804800", ), }, }, create_pidfd: false, } Bye! Bye! Bye! Bye! Bye! Reading /builddir/build/BUILD/nextpnr-1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.zo3xO5 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DOCDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/README.md /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/docs /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/examples /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.aEN8OD + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + LICENSEDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/COPYING /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-imgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-qtimgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-python-console.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 1-41.20240524gitb7f91e5.fc41 nextpnr(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libboost_filesystem.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgomp.so.1()(64bit) libgomp.so.1(GOMP_1.0)(64bit) libgomp.so.1(GOMP_4.0)(64bit) libgomp.so.1(GOMP_4.5)(64bit) libgomp.so.1(OMP_1.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.38)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.ppc64le Provides: nextpnr-debugsource = 1-41.20240524gitb7f91e5.fc41 nextpnr-debugsource(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.ppc64le Provides: debuginfo(build-id) = 26cd8bc2331b4a0530f27385b7952a76481db589 debuginfo(build-id) = 42977863374536cd06b38472d80cf8c6d9d3cd37 debuginfo(build-id) = 89b99d52377ee20e9f2b470430a01d1395ddb401 nextpnr-debuginfo = 1-41.20240524gitb7f91e5.fc41 nextpnr-debuginfo(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Wrote: /builddir/build/RPMS/nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.ppc64le.rpm Wrote: /builddir/build/RPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.ppc64le.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.RYyND2 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + rm -rf /builddir/build/BUILD/nextpnr-1-build + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-ppc64le-1717895875.548494/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(child) 31 minutes 57 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr-debugsource", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "ppc64le" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "src" }, { "name": "nextpnr-debuginfo", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "ppc64le" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "ppc64le" } ] } RPMResults finished