Warning: Permanently added '150.239.108.98' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7573206-fedora-rawhide-s390x --chroot fedora-rawhide-s390x Version: 0.73 PID: 6479 Logging PID: 6480 Task: {'allow_user_ssh': False, 'appstream': False, 'background': True, 'build_id': 7573206, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-s390x', 'enable_net': False, 'fedora_review': False, 'git_hash': '4cde33d7db6baacd4d40225f74ef2e9b69100d83', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1-41.20240524gitb7f91e5', 'project_dirname': 'openblas-0.3.23', 'project_name': 'openblas-0.3.23', 'project_owner': 'psimovec', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/psimovec/openblas-0.3.23/fedora-rawhide-s390x/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'http://kojipkgs.fedoraproject.org/repos/rawhide/latest/$basearch/', 'id': 'http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch', 'name': 'Additional repo http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch'}], 'sandbox': 'psimovec/openblas-0.3.23--https://src.fedoraproject.org/user/churchyard', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'https://src.fedoraproject.org/user/churchyard', 'tags': [], 'task_id': '7573206-fedora-rawhide-s390x', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr'... Running: git checkout 4cde33d7db6baacd4d40225f74ef2e9b69100d83 -- cmd: ['git', 'checkout', '4cde33d7db6baacd4d40225f74ef2e9b69100d83', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr rc: 0 stdout: stderr: Note: switching to '4cde33d7db6baacd4d40225f74ef2e9b69100d83'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 4cde33d automatic import of nextpnr Running: copr-distgit-client sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading nextpnr-b7f91e5.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o nextpnr-b7f91e5.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/psimovec/openblas-0.3.23/nextpnr/nextpnr-b7f91e5.tar.gz/md5/7431c3fc862f9867d2ced7bc89ea453e/nextpnr-b7f91e5.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 4615k 100 4615k 0 0 902k 0 0:00:05 0:00:05 --:--:-- 1025k INFO: Reading stdout from command: md5sum nextpnr-b7f91e5.tar.gz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717909719.367634 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717909719.367634 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr/nextpnr.spec) Config(fedora-rawhide-s390x) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-s390x-bootstrap-1717909719.367634/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:rawhide INFO: Pulling image: registry.fedoraproject.org/fedora:rawhide INFO: Copy content of container registry.fedoraproject.org/fedora:rawhide to /var/lib/mock/fedora-rawhide-s390x-bootstrap-1717909719.367634/root INFO: Checking that registry.fedoraproject.org/fedora:rawhide image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:rawhide with podman image mount INFO: image registry.fedoraproject.org/fedora:rawhide as /var/lib/containers/storage/overlay/cd62ad4faab3f9c3f87c7427fc58021c34ab9f747366f52aa0ef23354e6353e0/merged INFO: umounting image registry.fedoraproject.org/fedora:rawhide (/var/lib/containers/storage/overlay/cd62ad4faab3f9c3f87c7427fc58021c34ab9f747366f52aa0ef23354e6353e0/merged) with podman image umount INFO: Package manager dnf5 detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling Updating and loading repositories: Additional repo http_kojipkgs_fedorapr 100% | 3.0 MiB/s | 16.6 MiB | 00m05s Copr repository 100% | 615.0 KiB/s | 3.1 MiB | 00m05s fedora 100% | 28.9 MiB/s | 19.2 MiB | 00m01s Repositories loaded. Package "dnf5-5.2.3.0-1.fc41.s390x" is already installed. Package Arch Version Repository Size Installing: dnf5-plugins s390x 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB Installing dependencies: elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 KiB elfutils-libelf s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB elfutils-libs s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 750.1 KiB file-libs s390x 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 MiB libgomp s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 531.2 KiB rpm-build-libs s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 218.4 KiB Transaction Summary: Installing: 7 packages Total size of inbound packages is 2 MiB. Need to download 2 MiB. After this operation 14 MiB will be used (install 14 MiB, remove 0 B). [1/7] dnf5-plugins-0:5.2.3.0-1.fc41.s39 100% | 9.4 MiB/s | 355.9 KiB | 00m00s [2/7] elfutils-libelf-0:0.191-7.fc41.s3 100% | 4.9 MiB/s | 214.3 KiB | 00m00s [3/7] elfutils-libs-0:0.191-7.fc41.s390 100% | 30.6 MiB/s | 281.6 KiB | 00m00s [4/7] libgomp-0:14.1.1-5.fc41.s390x 100% | 57.5 MiB/s | 353.2 KiB | 00m00s [5/7] elfutils-default-yama-scope-0:0.1 100% | 2.2 MiB/s | 13.3 KiB | 00m00s [6/7] file-libs-0:5.45-5.fc41.s390x 100% | 25.1 MiB/s | 770.1 KiB | 00m00s [7/7] rpm-build-libs-0:4.19.91-8.fc41.s 100% | 460.5 KiB/s | 100.4 KiB | 00m00s -------------------------------------------------------------------------------- [7/7] Total 100% | 9.3 MiB/s | 2.0 MiB | 00m00s Running transaction [1/9] Verify package files 100% | 1.1 KiB/s | 7.0 B | 00m00s [2/9] Prepare transaction 100% | 636.0 B/s | 7.0 B | 00m00s [3/9] Installing elfutils-libelf-0:0.19 100% | 295.2 MiB/s | 1.2 MiB | 00m00s [4/9] Installing elfutils-default-yama- 100% | 291.9 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.noar [5/9] Installing elfutils-libs-0:0.191- 100% | 183.6 MiB/s | 751.9 KiB | 00m00s [6/9] Installing libgomp-0:14.1.1-5.fc4 100% | 260.1 MiB/s | 532.6 KiB | 00m00s [7/9] Installing file-libs-0:5.45-5.fc4 100% | 432.4 MiB/s | 9.9 MiB | 00m00s [8/9] Installing rpm-build-libs-0:4.19. 100% | 214.1 MiB/s | 219.3 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [9/9] Installing dnf5-plugins-0:5.2.3.0 100% | 44.4 MiB/s | 1.0 MiB | 00m00s >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.s390x >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.s390x Warning: skipped PGP checks for 7 packages from repository: http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-s390x-1717909719.367634/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.91-8.fc41.s390x rpm-sequoia-1.6.0-3.fc41.s390x dnf5-5.2.3.0-1.fc41.s390x dnf5-plugins-5.2.3.0-1.fc41.s390x Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 10.2 MiB/s | 19.2 MiB | 00m02s Copr repository 100% | 570.2 KiB/s | 3.1 MiB | 00m05s Additional repo http_kojipkgs_fedorapr 100% | 3.2 MiB/s | 16.6 MiB | 00m05s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash s390x 5.2.26-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 MiB bzip2 s390x 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99.2 KiB coreutils s390x 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.0 MiB cpio s390x 2.15-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB diffutils s390x 3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB fedora-release-common noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.2 KiB findutils s390x 1:4.10.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB gawk s390x 5.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB glibc-minimal-langpack s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B grep s390x 3.11-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB gzip s390x 1.13-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 400.8 KiB info s390x 7.1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 405.1 KiB patch s390x 2.7.6-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 298.3 KiB redhat-rpm-config noarch 292-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 183.5 KiB rpm-build s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.3 KiB sed s390x 4.9-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 873.2 KiB shadow-utils s390x 2:4.15.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.2 MiB tar s390x 2:1.35-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 MiB unzip s390x 6.0-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 406.0 KiB util-linux s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.7 MiB which s390x 2.21-41.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83.9 KiB xz s390x 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 MiB Installing dependencies: add-determinism-nopython s390x 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.2 MiB alternatives s390x 1.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66.1 KiB ansible-srpm-macros noarch 1-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.7 KiB audit-libs s390x 4.0.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 346.9 KiB authselect s390x 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 153.3 KiB authselect-libs s390x 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 819.6 KiB basesystem noarch 11-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B binutils s390x 2.42.50-14.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.5 MiB build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 769.0 B bzip2-libs s390x 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 88.5 KiB ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB coreutils-common s390x 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.2 MiB cracklib s390x 2.9.11-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 250.0 KiB crypto-policies noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120.0 KiB curl s390x 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 767.6 KiB cyrus-sasl-lib s390x 2.1.28-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB debugedit s390x 5.0-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 202.8 KiB dwz s390x 0.15-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 318.6 KiB ed s390x 1.20.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 150.6 KiB efi-srpm-macros noarch 5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.1 KiB elfutils s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 MiB elfutils-debuginfod-client s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64.4 KiB elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 KiB elfutils-libelf s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB elfutils-libs s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 750.1 KiB fedora-gpg-keys noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124.7 KiB fedora-release noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B fedora-release-identity-basic noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 694.0 B fedora-repos noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.9 KiB fedora-repos-rawhide noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 KiB file s390x 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 103.3 KiB file-libs s390x 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 MiB filesystem s390x 3.18-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 106.0 B fonts-srpm-macros noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.3 KiB forge-srpm-macros noarch 0.3.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.0 B gdb-minimal s390x 14.2-11.fc41 copr_base 13.1 MiB gdbm s390x 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 483.9 KiB gdbm-libs s390x 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 133.4 KiB ghc-srpm-macros noarch 1.9.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 747.0 B glibc s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.4 MiB glibc-common s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB glibc-gconv-extra s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.7 MiB gmp s390x 1:6.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 769.9 KiB gnat-srpm-macros noarch 6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 KiB go-srpm-macros noarch 3.6.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 60.8 KiB jansson s390x 2.13.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 92.1 KiB kernel-srpm-macros noarch 1.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 KiB keyutils-libs s390x 1.6.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 54.2 KiB krb5-libs s390x 1.21.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB libacl s390x 2.3.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43.8 KiB libarchive s390x 3.7.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB libattr s390x 2.5.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.3 KiB libblkid s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 286.4 KiB libbrotli s390x 1.1.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 925.1 KiB libcap s390x 2.70-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 234.2 KiB libcap-ng s390x 0.8.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 76.7 KiB libcom_err s390x 1.47.0-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67.0 KiB libcurl s390x 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 853.4 KiB libeconf s390x 0.6.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 61.8 KiB libevent s390x 2.1.12-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 938.7 KiB libfdisk s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 394.8 KiB libffi s390x 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65.9 KiB libgcc s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 173.2 KiB libgomp s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 531.2 KiB libidn2 s390x 2.3.7-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 332.9 KiB libmount s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 375.8 KiB libnghttp2 s390x 1.62.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 178.0 KiB libnsl2 s390x 2.0.1-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 61.7 KiB libpkgconf s390x 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 85.9 KiB libpsl s390x 0.21.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 80.3 KiB libpwquality s390x 1.4.5-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 420.9 KiB libselinux s390x 3.6-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 188.9 KiB libsemanage s390x 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 301.3 KiB libsepol s390x 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 849.8 KiB libsmartcols s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 192.2 KiB libssh s390x 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 529.1 KiB libssh-config noarch 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 277.0 B libstdc++ s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB libtasn1 s390x 4.19.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 187.5 KiB libtirpc s390x 1.3.4-1.rc3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 214.6 KiB libtool-ltdl s390x 2.4.7-11.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 74.0 KiB libunistring s390x 1.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB libutempter s390x 1.2.1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 57.3 KiB libuuid s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37.2 KiB libverto s390x 0.3.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.2 KiB libxcrypt s390x 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 274.7 KiB libxml2 s390x 2.12.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB libzstd s390x 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 879.7 KiB lua-libs s390x 5.4.6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 324.8 KiB lua-srpm-macros noarch 1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 KiB lz4-libs s390x 1.9.4-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 173.2 KiB mpfr s390x 4.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 698.7 KiB ncurses-base noarch 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 326.2 KiB ncurses-libs s390x 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB ocaml-srpm-macros noarch 10-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 KiB openblas-srpm-macros noarch 2-17.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112.0 B openldap s390x 2.6.8-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 675.7 KiB openssl-libs s390x 1:3.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.1 MiB p11-kit s390x 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 MiB p11-kit-trust s390x 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 471.2 KiB package-notes-srpm-macros noarch 0.5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 KiB pam s390x 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB pam-libs s390x 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 130.4 KiB pcre2 s390x 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 688.9 KiB pcre2-syntax noarch 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 249.0 KiB perl-srpm-macros noarch 1-53.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 861.0 B pkgconf s390x 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 86.6 KiB pkgconf-m4 noarch 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.9 KiB pkgconf-pkg-config s390x 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 988.0 B popt s390x 1.19-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.6 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67.5 KiB pyproject-srpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 KiB python-srpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50.5 KiB qt5-srpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 500.0 B qt6-srpm-macros noarch 6.7.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 456.0 B readline s390x 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 556.8 KiB rpm s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 MiB rpm-build-libs s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 218.4 KiB rpm-libs s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 809.5 KiB rpm-sequoia s390x 1.6.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.9 MiB rust-srpm-macros noarch 26.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 KiB setup noarch 2.15.0-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 720.7 KiB sqlite-libs s390x 3.46.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB systemd-libs s390x 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB util-linux-core s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB xxhash-libs s390x 0.8.2-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 68.0 KiB xz-libs s390x 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 225.6 KiB zig-srpm-macros noarch 1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 KiB zip s390x 3.0-40.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 754.2 KiB zlib-ng-compat s390x 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 113.3 KiB zstd s390x 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 154 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation 182 MiB will be used (install 182 MiB, remove 0 B). [ 1/154] bzip2-0:1.0.8-18.fc40.s390x 100% | 1.2 MiB/s | 53.1 KiB | 00m00s [ 2/154] coreutils-0:9.5-2.fc41.s390x 100% | 19.6 MiB/s | 1.2 MiB | 00m00s [ 3/154] cpio-0:2.15-1.fc40.s390x 100% | 13.5 MiB/s | 290.6 KiB | 00m00s [ 4/154] diffutils-0:3.10-5.fc40.s390x 100% | 33.5 MiB/s | 412.0 KiB | 00m00s [ 5/154] fedora-release-common-0:41-0. 100% | 3.1 MiB/s | 22.1 KiB | 00m00s [ 6/154] findutils-1:4.10.0-2.fc41.s39 100% | 41.2 MiB/s | 548.8 KiB | 00m00s [ 7/154] bash-0:5.2.26-3.fc40.s390x 100% | 20.1 MiB/s | 1.8 MiB | 00m00s [ 8/154] glibc-minimal-langpack-0:2.39 100% | 15.6 MiB/s | 111.5 KiB | 00m00s [ 9/154] grep-0:3.11-8.fc41.s390x 100% | 22.2 MiB/s | 296.2 KiB | 00m00s [ 10/154] gzip-0:1.13-1.fc40.s390x 100% | 13.9 MiB/s | 171.2 KiB | 00m00s [ 11/154] patch-0:2.7.6-24.fc40.s390x 100% | 17.2 MiB/s | 140.7 KiB | 00m00s [ 12/154] info-0:7.1-2.fc40.s390x 100% | 21.1 MiB/s | 194.6 KiB | 00m00s [ 13/154] redhat-rpm-config-0:292-1.fc4 100% | 12.3 MiB/s | 75.5 KiB | 00m00s [ 14/154] gawk-0:5.3.0-3.fc40.s390x 100% | 22.3 MiB/s | 1.1 MiB | 00m00s [ 15/154] rpm-build-0:4.19.91-8.fc41.s3 100% | 10.6 MiB/s | 75.7 KiB | 00m00s [ 16/154] sed-0:4.9-1.fc40.s390x 100% | 27.7 MiB/s | 311.7 KiB | 00m00s [ 17/154] unzip-0:6.0-63.fc40.s390x 100% | 21.2 MiB/s | 195.4 KiB | 00m00s [ 18/154] tar-2:1.35-3.fc40.s390x 100% | 35.4 MiB/s | 870.7 KiB | 00m00s [ 19/154] shadow-utils-2:4.15.1-5.fc41. 100% | 40.9 MiB/s | 1.3 MiB | 00m00s [ 20/154] which-0:2.21-41.fc40.s390x 100% | 6.0 MiB/s | 43.0 KiB | 00m00s [ 21/154] util-linux-0:2.40.1-1.fc41.s3 100% | 49.5 MiB/s | 1.1 MiB | 00m00s [ 22/154] xz-1:5.4.6-3.fc41.s390x 100% | 34.7 MiB/s | 533.1 KiB | 00m00s [ 23/154] xz-libs-1:5.4.6-3.fc41.s390x 100% | 14.2 MiB/s | 116.1 KiB | 00m00s [ 24/154] audit-libs-0:4.0.1-2.fc41.s39 100% | 13.9 MiB/s | 127.8 KiB | 00m00s [ 25/154] glibc-0:2.39.9000-18.fc41.s39 100% | 63.3 MiB/s | 1.9 MiB | 00m00s [ 26/154] authselect-libs-0:1.5.0-5.fc4 100% | 22.2 MiB/s | 204.2 KiB | 00m00s [ 27/154] libblkid-0:2.40.1-1.fc41.s390 100% | 18.2 MiB/s | 130.2 KiB | 00m00s [ 28/154] libcap-ng-0:0.8.5-1.fc41.s390 100% | 6.4 MiB/s | 32.9 KiB | 00m00s [ 29/154] libmount-0:2.40.1-1.fc41.s390 100% | 25.9 MiB/s | 159.0 KiB | 00m00s [ 30/154] libfdisk-0:2.40.1-1.fc41.s390 100% | 20.2 MiB/s | 165.2 KiB | 00m00s [ 31/154] libselinux-0:3.6-4.fc40.s390x 100% | 12.8 MiB/s | 92.1 KiB | 00m00s [ 32/154] libsmartcols-0:2.40.1-1.fc41. 100% | 12.0 MiB/s | 85.7 KiB | 00m00s [ 33/154] libutempter-0:1.2.1-13.fc40.s 100% | 3.2 MiB/s | 26.2 KiB | 00m00s [ 34/154] libuuid-0:2.40.1-1.fc41.s390x 100% | 5.6 MiB/s | 28.8 KiB | 00m00s [ 35/154] ncurses-libs-0:6.4-12.2024012 100% | 39.4 MiB/s | 362.7 KiB | 00m00s [ 36/154] pam-libs-0:1.6.1-3.fc41.s390x 100% | 6.3 MiB/s | 58.3 KiB | 00m00s [ 37/154] pam-0:1.6.1-3.fc41.s390x 100% | 36.6 MiB/s | 525.0 KiB | 00m00s [ 38/154] readline-0:8.2-8.fc40.s390x 100% | 32.1 MiB/s | 230.1 KiB | 00m00s [ 39/154] zlib-ng-compat-0:2.1.6-6.fc41 100% | 9.2 MiB/s | 65.8 KiB | 00m00s [ 40/154] systemd-libs-0:256~rc4-2.fc41 100% | 51.8 MiB/s | 742.7 KiB | 00m00s [ 41/154] util-linux-core-0:2.40.1-1.fc 100% | 39.6 MiB/s | 527.1 KiB | 00m00s [ 42/154] libacl-0:2.3.2-1.fc40.s390x 100% | 3.5 MiB/s | 25.4 KiB | 00m00s [ 43/154] bzip2-libs-0:1.0.8-18.fc40.s3 100% | 5.7 MiB/s | 46.6 KiB | 00m00s [ 44/154] libcap-0:2.70-1.fc41.s390x 100% | 12.4 MiB/s | 88.6 KiB | 00m00s [ 45/154] libgcc-0:14.1.1-5.fc41.s390x 100% | 14.1 MiB/s | 86.5 KiB | 00m00s [ 46/154] libattr-0:2.5.2-3.fc40.s390x 100% | 3.0 MiB/s | 18.4 KiB | 00m00s [ 47/154] libeconf-0:0.6.2-2.fc41.s390x 100% | 5.5 MiB/s | 33.9 KiB | 00m00s [ 48/154] libxcrypt-0:4.4.36-5.fc40.s39 100% | 20.1 MiB/s | 123.8 KiB | 00m00s [ 49/154] libsemanage-0:3.6-3.fc40.s390 100% | 16.7 MiB/s | 119.7 KiB | 00m00s [ 50/154] setup-0:2.15.0-4.fc41.noarch 100% | 20.7 MiB/s | 148.5 KiB | 00m00s [ 51/154] debugedit-0:5.0-16.fc41.s390x 100% | 7.3 MiB/s | 82.4 KiB | 00m00s [ 52/154] elfutils-0:0.191-7.fc41.s390x 100% | 40.6 MiB/s | 581.6 KiB | 00m00s [ 53/154] elfutils-libelf-0:0.191-7.fc4 100% | 26.2 MiB/s | 214.3 KiB | 00m00s [ 54/154] file-0:5.45-5.fc41.s390x 100% | 3.4 MiB/s | 49.2 KiB | 00m00s [ 55/154] libarchive-0:3.7.4-1.fc41.s39 100% | 33.2 MiB/s | 442.2 KiB | 00m00s [ 56/154] pkgconf-pkg-config-0:2.1.1-1. 100% | 979.0 KiB/s | 9.8 KiB | 00m00s [ 57/154] popt-0:1.19-6.fc40.s390x 100% | 6.1 MiB/s | 62.4 KiB | 00m00s [ 58/154] rpm-build-libs-0:4.19.91-8.fc 100% | 16.3 MiB/s | 100.4 KiB | 00m00s [ 59/154] rpm-0:4.19.91-8.fc41.s390x 100% | 39.2 MiB/s | 522.5 KiB | 00m00s [ 60/154] rpm-libs-0:4.19.91-8.fc41.s39 100% | 24.3 MiB/s | 323.4 KiB | 00m00s [ 61/154] zstd-0:1.5.6-1.fc41.s390x 100% | 50.4 MiB/s | 515.8 KiB | 00m00s [ 62/154] binutils-0:2.42.50-14.fc41.s3 100% | 79.3 MiB/s | 6.0 MiB | 00m00s [ 63/154] curl-0:8.8.0-1.fc41.s390x 100% | 23.0 MiB/s | 305.6 KiB | 00m00s [ 64/154] ansible-srpm-macros-0:1-15.fc 100% | 2.9 MiB/s | 20.9 KiB | 00m00s [ 65/154] glibc-gconv-extra-0:2.39.9000 100% | 87.4 MiB/s | 1.7 MiB | 00m00s [ 66/154] build-reproducibility-srpm-ma 100% | 1.3 MiB/s | 9.1 KiB | 00m00s [ 67/154] dwz-0:0.15-6.fc40.s390x 100% | 20.2 MiB/s | 144.7 KiB | 00m00s [ 68/154] fonts-srpm-macros-1:2.0.5-15. 100% | 6.5 MiB/s | 26.7 KiB | 00m00s [ 69/154] efi-srpm-macros-0:5-11.fc40.n 100% | 3.6 MiB/s | 22.3 KiB | 00m00s [ 70/154] forge-srpm-macros-0:0.3.1-1.f 100% | 6.3 MiB/s | 19.4 KiB | 00m00s [ 71/154] fpc-srpm-macros-0:1.3-12.fc40 100% | 2.5 MiB/s | 7.8 KiB | 00m00s [ 72/154] ghc-srpm-macros-0:1.9.1-1.fc4 100% | 1.8 MiB/s | 9.0 KiB | 00m00s [ 73/154] gnat-srpm-macros-0:6-5.fc40.n 100% | 2.2 MiB/s | 8.8 KiB | 00m00s [ 74/154] go-srpm-macros-0:3.6.0-1.fc41 100% | 6.8 MiB/s | 27.9 KiB | 00m00s [ 75/154] kernel-srpm-macros-0:1.0-23.f 100% | 1.6 MiB/s | 9.8 KiB | 00m00s [ 76/154] lua-srpm-macros-0:1-13.fc40.n 100% | 1.4 MiB/s | 8.7 KiB | 00m00s [ 77/154] ocaml-srpm-macros-0:10-2.fc41 100% | 911.0 KiB/s | 9.1 KiB | 00m00s [ 78/154] openblas-srpm-macros-0:2-17.f 100% | 1.2 MiB/s | 7.7 KiB | 00m00s [ 79/154] package-notes-srpm-macros-0:0 100% | 1.6 MiB/s | 9.9 KiB | 00m00s [ 80/154] pyproject-srpm-macros-0:1.12. 100% | 3.2 MiB/s | 12.9 KiB | 00m00s [ 81/154] perl-srpm-macros-0:1-53.fc40. 100% | 1.6 MiB/s | 8.4 KiB | 00m00s [ 82/154] python-srpm-macros-0:3.12-9.f 100% | 4.7 MiB/s | 24.0 KiB | 00m00s [ 83/154] qt6-srpm-macros-0:6.7.1-1.fc4 100% | 1.7 MiB/s | 8.9 KiB | 00m00s [ 84/154] qt5-srpm-macros-0:5.15.14-1.f 100% | 1.4 MiB/s | 8.9 KiB | 00m00s [ 85/154] rust-srpm-macros-0:26.3-1.fc4 100% | 2.4 MiB/s | 12.5 KiB | 00m00s [ 86/154] zig-srpm-macros-0:1-2.fc40.no 100% | 2.0 MiB/s | 8.0 KiB | 00m00s [ 87/154] pkgconf-0:2.1.1-1.fc41.s390x 100% | 7.2 MiB/s | 44.4 KiB | 00m00s [ 88/154] pkgconf-m4-0:2.1.1-1.fc41.noa 100% | 3.4 MiB/s | 14.1 KiB | 00m00s [ 89/154] zip-0:3.0-40.fc40.s390x 100% | 27.6 MiB/s | 282.8 KiB | 00m00s [ 90/154] libpkgconf-0:2.1.1-1.fc41.s39 100% | 5.4 MiB/s | 38.6 KiB | 00m00s [ 91/154] ed-0:1.20.2-1.fc41.s390x 100% | 13.6 MiB/s | 83.4 KiB | 00m00s [ 92/154] authselect-0:1.5.0-5.fc41.s39 100% | 19.6 MiB/s | 140.6 KiB | 00m00s [ 93/154] gdbm-1:1.23-6.fc40.s390x 100% | 29.6 MiB/s | 151.5 KiB | 00m00s [ 94/154] gdbm-libs-1:1.23-6.fc40.s390x 100% | 11.3 MiB/s | 58.0 KiB | 00m00s [ 95/154] libnsl2-0:2.0.1-1.fc40.s390x 100% | 4.8 MiB/s | 29.4 KiB | 00m00s [ 96/154] libpwquality-0:1.4.5-9.fc40.s 100% | 17.8 MiB/s | 109.2 KiB | 00m00s [ 97/154] libtirpc-0:1.3.4-1.rc3.fc41.s 100% | 13.3 MiB/s | 95.0 KiB | 00m00s [ 98/154] crypto-policies-0:20240521-1. 100% | 10.5 MiB/s | 64.7 KiB | 00m00s [ 99/154] ncurses-base-0:6.4-12.2024012 100% | 6.9 MiB/s | 63.8 KiB | 00m00s [100/154] openssl-libs-1:3.2.2-1.fc41.s 100% | 89.0 MiB/s | 2.0 MiB | 00m00s [101/154] ca-certificates-0:2023.2.62_v 100% | 38.3 MiB/s | 862.1 KiB | 00m00s [102/154] libcom_err-0:1.47.0-5.fc40.s3 100% | 3.1 MiB/s | 25.1 KiB | 00m00s [103/154] libsepol-0:3.6-3.fc40.s390x 100% | 30.9 MiB/s | 348.5 KiB | 00m00s [104/154] krb5-libs-0:1.21.2-5.fc40.s39 100% | 47.6 MiB/s | 780.6 KiB | 00m00s [105/154] pcre2-0:10.43-2.fc41.1.s390x 100% | 32.0 MiB/s | 262.0 KiB | 00m00s [106/154] cracklib-0:2.9.11-5.fc40.s390 100% | 13.6 MiB/s | 83.5 KiB | 00m00s [107/154] lz4-libs-0:1.9.4-6.fc40.s390x 100% | 10.2 MiB/s | 83.2 KiB | 00m00s [108/154] libzstd-0:1.5.6-1.fc41.s390x 100% | 37.5 MiB/s | 345.5 KiB | 00m00s [109/154] libxml2-0:2.12.7-1.fc41.s390x 100% | 45.9 MiB/s | 705.7 KiB | 00m00s [110/154] libverto-0:0.3.2-8.fc40.s390x 100% | 4.1 MiB/s | 20.8 KiB | 00m00s [111/154] keyutils-libs-0:1.6.3-3.fc40. 100% | 5.1 MiB/s | 31.4 KiB | 00m00s [112/154] glibc-common-0:2.39.9000-18.f 100% | 50.0 MiB/s | 409.8 KiB | 00m00s [113/154] basesystem-0:11-20.fc40.noarc 100% | 1.4 MiB/s | 7.2 KiB | 00m00s [114/154] gmp-1:6.3.0-1.fc41.s390x 100% | 35.7 MiB/s | 328.7 KiB | 00m00s [115/154] mpfr-0:4.2.1-4.fc41.s390x 100% | 29.1 MiB/s | 298.2 KiB | 00m00s [116/154] filesystem-0:3.18-9.fc41.s390 100% | 48.6 MiB/s | 1.1 MiB | 00m00s [117/154] file-libs-0:5.45-5.fc41.s390x 100% | 75.2 MiB/s | 770.1 KiB | 00m00s [118/154] fedora-repos-0:41-0.2.noarch 100% | 1.5 MiB/s | 9.3 KiB | 00m00s [119/154] elfutils-debuginfod-client-0: 100% | 9.4 MiB/s | 38.6 KiB | 00m00s [120/154] elfutils-libs-0:0.191-7.fc41. 100% | 45.8 MiB/s | 281.6 KiB | 00m00s [121/154] p11-kit-0:0.25.3-4.fc40.s390x 100% | 46.1 MiB/s | 519.2 KiB | 00m00s [122/154] libstdc++-0:14.1.1-5.fc41.s39 100% | 50.7 MiB/s | 986.5 KiB | 00m00s [123/154] p11-kit-trust-0:0.25.3-4.fc40 100% | 23.4 MiB/s | 143.6 KiB | 00m00s [124/154] coreutils-common-0:9.5-2.fc41 100% | 69.8 MiB/s | 2.1 MiB | 00m00s [125/154] alternatives-0:1.27-1.fc41.s3 100% | 5.1 MiB/s | 41.9 KiB | 00m00s [126/154] add-determinism-nopython-0:0. 100% | 67.2 MiB/s | 1.0 MiB | 00m00s [127/154] jansson-0:2.13.1-9.fc40.s390x 100% | 8.7 MiB/s | 44.6 KiB | 00m00s [128/154] lua-libs-0:5.4.6-5.fc40.s390x 100% | 19.9 MiB/s | 142.6 KiB | 00m00s [129/154] rpm-sequoia-0:1.6.0-3.fc41.s3 100% | 72.9 MiB/s | 970.8 KiB | 00m00s [130/154] libgomp-0:14.1.1-5.fc41.s390x 100% | 43.1 MiB/s | 353.2 KiB | 00m00s [131/154] sqlite-libs-0:3.46.0-1.fc41.s 100% | 57.6 MiB/s | 766.2 KiB | 00m00s [132/154] pcre2-syntax-0:10.43-2.fc41.1 100% | 24.2 MiB/s | 148.9 KiB | 00m00s [133/154] libtasn1-0:4.19.0-6.fc40.s390 100% | 15.2 MiB/s | 77.8 KiB | 00m00s [134/154] libffi-0:3.4.6-1.fc41.s390x 100% | 7.0 MiB/s | 36.0 KiB | 00m00s [135/154] fedora-gpg-keys-0:41-0.2.noar 100% | 23.8 MiB/s | 121.8 KiB | 00m00s [136/154] elfutils-default-yama-scope-0 100% | 4.3 MiB/s | 13.3 KiB | 00m00s [137/154] fedora-repos-rawhide-0:41-0.2 100% | 1.4 MiB/s | 8.9 KiB | 00m00s [138/154] fedora-release-0:41-0.13.noar 100% | 2.2 MiB/s | 11.3 KiB | 00m00s [139/154] fedora-release-identity-basic 100% | 1.7 MiB/s | 12.1 KiB | 00m00s [140/154] xxhash-libs-0:0.8.2-2.fc40.s3 100% | 4.4 MiB/s | 36.3 KiB | 00m00s [141/154] libcurl-0:8.8.0-1.fc41.s390x 100% | 28.1 MiB/s | 374.0 KiB | 00m00s [142/154] libidn2-0:2.3.7-1.fc40.s390x 100% | 15.8 MiB/s | 113.3 KiB | 00m00s [143/154] libbrotli-0:1.1.0-3.fc40.s390 100% | 34.3 MiB/s | 386.6 KiB | 00m00s [144/154] gdb-minimal-0:14.2-11.fc41.s3 100% | 138.4 MiB/s | 4.3 MiB | 00m00s [145/154] libnghttp2-0:1.62.0-1.fc41.s3 100% | 8.7 MiB/s | 79.9 KiB | 00m00s [146/154] libpsl-0:0.21.5-3.fc40.s390x 100% | 7.9 MiB/s | 64.4 KiB | 00m00s [147/154] libssh-0:0.10.6-6.fc41.s390x 100% | 29.4 MiB/s | 210.6 KiB | 00m00s [148/154] openldap-0:2.6.8-1.fc41.s390x 100% | 32.1 MiB/s | 263.0 KiB | 00m00s [149/154] libevent-0:2.1.12-13.fc41.s39 100% | 28.2 MiB/s | 259.9 KiB | 00m00s [150/154] libtool-ltdl-0:2.4.7-11.fc41. 100% | 7.1 MiB/s | 36.5 KiB | 00m00s [151/154] cyrus-sasl-lib-0:2.1.28-22.fc 100% | 62.2 MiB/s | 827.4 KiB | 00m00s [152/154] libssh-config-0:0.10.6-6.fc41 100% | 2.2 MiB/s | 9.1 KiB | 00m00s [153/154] publicsuffix-list-dafsa-0:202 100% | 8.1 MiB/s | 58.1 KiB | 00m00s [154/154] libunistring-0:1.1-7.fc41.s39 100% | 42.1 MiB/s | 560.1 KiB | 00m00s -------------------------------------------------------------------------------- [154/154] Total 100% | 86.6 MiB/s | 52.8 MiB | 00m01s Running transaction [ 1/156] Verify package files 100% | 777.0 B/s | 154.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-9.fc41.s390x >>> Stop pre-transaction scriptlet: filesystem-0:3.18-9.fc41.s390x [ 2/156] Prepare transaction 100% | 3.0 KiB/s | 154.0 B | 00m00s [ 3/156] Installing libgcc-0:14.1.1-5. 100% | 170.8 MiB/s | 174.9 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.1.1-5.fc41.s390x >>> Stop post-install scriptlet: libgcc-0:14.1.1-5.fc41.s390x [ 4/156] Installing crypto-policies-0: 100% | 35.9 MiB/s | 147.0 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41 >>> Stop post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41.no [ 5/156] Installing publicsuffix-list- 100% | 0.0 B/s | 68.3 KiB | 00m00s [ 6/156] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 7/156] Installing fedora-release-ide 100% | 0.0 B/s | 952.0 B | 00m00s [ 8/156] Installing fedora-gpg-keys-0: 100% | 41.4 MiB/s | 169.7 KiB | 00m00s [ 9/156] Installing fedora-repos-rawhi 100% | 0.0 B/s | 2.4 KiB | 00m00s [ 10/156] Installing fedora-repos-0:41- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 11/156] Installing fedora-release-com 100% | 22.9 MiB/s | 23.5 KiB | 00m00s [ 12/156] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 13/156] Installing setup-0:2.15.0-4.f 100% | 54.5 MiB/s | 726.1 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.15.0-4.fc41.noarch >>> Stop post-install scriptlet: setup-0:2.15.0-4.fc41.noarch [ 14/156] Installing filesystem-0:3.18- 100% | 3.1 MiB/s | 212.5 KiB | 00m00s [ 15/156] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 16/156] Installing pcre2-syntax-0:10. 100% | 245.6 MiB/s | 251.5 KiB | 00m00s [ 17/156] Installing coreutils-common-0 100% | 272.9 MiB/s | 11.2 MiB | 00m00s [ 18/156] Installing ncurses-base-0:6.4 100% | 85.8 MiB/s | 351.6 KiB | 00m00s [ 19/156] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 20/156] Installing ncurses-libs-0:6.4 100% | 177.1 MiB/s | 1.1 MiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39.9000-18.fc41.s390x >>> Stop pre-install scriptlet: glibc-0:2.39.9000-18.fc41.s390x warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [ 21/156] Installing glibc-0:2.39.9000- 100% | 173.4 MiB/s | 5.4 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39.9000-18.fc41.s390x >>> Stop post-install scriptlet: glibc-0:2.39.9000-18.fc41.s390x [ 22/156] Installing bash-0:5.2.26-3.fc 100% | 261.2 MiB/s | 8.4 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.s390x >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.s390x [ 23/156] Installing glibc-common-0:2.3 100% | 101.4 MiB/s | 1.1 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [ 24/156] Installing glibc-gconv-extra- 100% | 177.1 MiB/s | 7.8 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.s390x >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.s390x [ 25/156] Installing zlib-ng-compat-0:2 100% | 111.4 MiB/s | 114.1 KiB | 00m00s [ 26/156] Installing bzip2-libs-0:1.0.8 100% | 0.0 B/s | 89.6 KiB | 00m00s [ 27/156] Installing xz-libs-1:5.4.6-3. 100% | 221.4 MiB/s | 226.7 KiB | 00m00s [ 28/156] Installing libuuid-0:2.40.1-1 100% | 0.0 B/s | 38.3 KiB | 00m00s [ 29/156] Installing readline-0:8.2-8.f 100% | 181.9 MiB/s | 558.9 KiB | 00m00s [ 30/156] Installing popt-0:1.19-6.fc40 100% | 73.9 MiB/s | 151.3 KiB | 00m00s [ 31/156] Installing libzstd-0:1.5.6-1. 100% | 286.8 MiB/s | 881.0 KiB | 00m00s [ 32/156] Installing elfutils-libelf-0: 100% | 295.2 MiB/s | 1.2 MiB | 00m00s [ 33/156] Installing libblkid-0:2.40.1- 100% | 280.8 MiB/s | 287.5 KiB | 00m00s [ 34/156] Installing libattr-0:2.5.2-3. 100% | 0.0 B/s | 29.3 KiB | 00m00s [ 35/156] Installing libacl-0:2.3.2-1.f 100% | 21.8 MiB/s | 44.6 KiB | 00m00s [ 36/156] Installing libxcrypt-0:4.4.36 100% | 270.9 MiB/s | 277.4 KiB | 00m00s [ 37/156] Installing gmp-1:6.3.0-1.fc41 100% | 251.4 MiB/s | 772.2 KiB | 00m00s [ 38/156] Installing libstdc++-0:14.1.1 100% | 259.9 MiB/s | 3.1 MiB | 00m00s [ 39/156] Installing libeconf-0:0.6.2-2 100% | 62.0 MiB/s | 63.5 KiB | 00m00s [ 40/156] Installing gdbm-libs-1:1.23-6 100% | 132.0 MiB/s | 135.1 KiB | 00m00s [ 41/156] Installing mpfr-0:4.2.1-4.fc4 100% | 171.0 MiB/s | 700.4 KiB | 00m00s [ 42/156] Installing gawk-0:5.3.0-3.fc4 100% | 226.8 MiB/s | 1.8 MiB | 00m00s [ 43/156] Installing dwz-0:0.15-6.fc40. 100% | 312.5 MiB/s | 320.0 KiB | 00m00s [ 44/156] Installing unzip-0:6.0-63.fc4 100% | 200.0 MiB/s | 409.5 KiB | 00m00s [ 45/156] Installing file-libs-0:5.45-5 100% | 452.1 MiB/s | 9.9 MiB | 00m00s [ 46/156] Installing file-0:5.45-5.fc41 100% | 102.3 MiB/s | 104.8 KiB | 00m00s [ 47/156] Installing libcap-ng-0:0.8.5- 100% | 76.7 MiB/s | 78.5 KiB | 00m00s [ 48/156] Installing audit-libs-0:4.0.1 100% | 170.4 MiB/s | 349.1 KiB | 00m00s [ 49/156] Installing pam-libs-0:1.6.1-3 100% | 129.7 MiB/s | 132.8 KiB | 00m00s [ 50/156] Installing libcap-0:2.70-1.fc 100% | 116.8 MiB/s | 239.2 KiB | 00m00s [ 51/156] Installing systemd-libs-0:256 100% | 259.8 MiB/s | 2.1 MiB | 00m00s [ 52/156] Installing libsmartcols-0:2.4 100% | 188.8 MiB/s | 193.4 KiB | 00m00s [ 53/156] Installing libcom_err-0:1.47. 100% | 0.0 B/s | 68.0 KiB | 00m00s [ 54/156] Installing libsepol-0:3.6-3.f 100% | 207.7 MiB/s | 850.8 KiB | 00m00s [ 55/156] Installing pcre2-0:10.43-2.fc 100% | 224.7 MiB/s | 690.4 KiB | 00m00s [ 56/156] Installing libselinux-0:3.6-4 100% | 185.7 MiB/s | 190.2 KiB | 00m00s [ 57/156] Installing sed-0:4.9-1.fc40.s 100% | 215.2 MiB/s | 881.4 KiB | 00m00s [ 58/156] Installing grep-0:3.11-8.fc41 100% | 203.7 MiB/s | 1.0 MiB | 00m00s [ 59/156] Installing findutils-1:4.10.0 100% | 270.4 MiB/s | 1.9 MiB | 00m00s [ 60/156] Installing xz-1:5.4.6-3.fc41. 100% | 222.5 MiB/s | 2.0 MiB | 00m00s [ 61/156] Installing libmount-0:2.40.1- 100% | 184.0 MiB/s | 376.8 KiB | 00m00s [ 62/156] Installing lz4-libs-0:1.9.4-6 100% | 170.2 MiB/s | 174.3 KiB | 00m00s [ 63/156] Installing alternatives-0:1.2 100% | 0.0 B/s | 67.8 KiB | 00m00s [ 64/156] Installing lua-libs-0:5.4.6-5 100% | 159.2 MiB/s | 326.0 KiB | 00m00s [ 65/156] Installing libtasn1-0:4.19.0- 100% | 92.4 MiB/s | 189.3 KiB | 00m00s [ 66/156] Installing libunistring-0:1.1 100% | 161.2 MiB/s | 1.8 MiB | 00m00s [ 67/156] Installing libidn2-0:2.3.7-1. 100% | 66.2 MiB/s | 338.9 KiB | 00m00s [ 68/156] Installing libpsl-0:0.21.5-3. 100% | 79.5 MiB/s | 81.4 KiB | 00m00s [ 69/156] Installing zstd-0:1.5.6-1.fc4 100% | 167.5 MiB/s | 1.8 MiB | 00m00s [ 70/156] Installing util-linux-core-0: 100% | 100.8 MiB/s | 1.5 MiB | 00m00s [ 71/156] Installing tar-2:1.35-3.fc40. 100% | 159.0 MiB/s | 3.0 MiB | 00m00s [ 72/156] Installing libsemanage-0:3.6- 100% | 74.0 MiB/s | 303.1 KiB | 00m00s [ 73/156] Installing shadow-utils-2:4.1 100% | 98.0 MiB/s | 4.2 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.s390x >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.s390x [ 74/156] Installing libutempter-0:1.2. 100% | 57.9 MiB/s | 59.3 KiB | 00m00s [ 75/156] Installing zip-0:3.0-40.fc40. 100% | 185.1 MiB/s | 758.1 KiB | 00m00s [ 76/156] Installing gdbm-1:1.23-6.fc40 100% | 159.1 MiB/s | 488.8 KiB | 00m00s [ 77/156] Installing cyrus-sasl-lib-0:2 100% | 239.3 MiB/s | 2.4 MiB | 00m00s [ 78/156] Installing libfdisk-0:2.40.1- 100% | 193.3 MiB/s | 395.9 KiB | 00m00s [ 79/156] Installing add-determinism-no 100% | 247.9 MiB/s | 3.2 MiB | 00m00s [ 80/156] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 81/156] Installing libxml2-0:2.12.7-1 100% | 235.4 MiB/s | 1.9 MiB | 00m00s [ 82/156] Installing bzip2-0:1.0.8-18.f 100% | 101.3 MiB/s | 103.7 KiB | 00m00s [ 83/156] Installing sqlite-libs-0:3.46 100% | 226.6 MiB/s | 1.6 MiB | 00m00s [ 84/156] Installing ed-0:1.20.2-1.fc41 100% | 149.3 MiB/s | 152.9 KiB | 00m00s [ 85/156] Installing patch-0:2.7.6-24.f 100% | 146.4 MiB/s | 299.8 KiB | 00m00s [ 86/156] Installing elfutils-default-y 100% | 340.5 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.noar [ 87/156] Installing elfutils-libs-0:0. 100% | 183.6 MiB/s | 751.9 KiB | 00m00s [ 88/156] Installing cpio-0:2.15-1.fc40 100% | 186.5 MiB/s | 1.1 MiB | 00m00s [ 89/156] Installing diffutils-0:3.10-5 100% | 230.9 MiB/s | 1.6 MiB | 00m00s [ 90/156] Installing libpkgconf-0:2.1.1 100% | 84.9 MiB/s | 87.0 KiB | 00m00s [ 91/156] Installing pkgconf-0:2.1.1-1. 100% | 87.0 MiB/s | 89.1 KiB | 00m00s [ 92/156] Installing keyutils-libs-0:1. 100% | 0.0 B/s | 55.6 KiB | 00m00s [ 93/156] Installing libverto-0:0.3.2-8 100% | 30.3 MiB/s | 31.1 KiB | 00m00s [ 94/156] Installing jansson-0:2.13.1-9 100% | 91.3 MiB/s | 93.5 KiB | 00m00s [ 95/156] Installing libgomp-0:14.1.1-5 100% | 260.1 MiB/s | 532.6 KiB | 00m00s [ 96/156] Installing libffi-0:3.4.6-1.f 100% | 65.8 MiB/s | 67.3 KiB | 00m00s [ 97/156] Installing p11-kit-0:0.25.3-4 100% | 207.9 MiB/s | 2.5 MiB | 00m00s [ 98/156] Installing p11-kit-trust-0:0. 100% | 66.0 MiB/s | 472.9 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.s390x >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.s390x [ 99/156] Installing openssl-libs-1:3.2 100% | 211.9 MiB/s | 6.1 MiB | 00m00s [100/156] Installing coreutils-0:9.5-2. 100% | 183.4 MiB/s | 6.1 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.n >>> Stop pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noar [101/156] Installing ca-certificates-0: 100% | 2.0 MiB/s | 2.3 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40. >>> Stop post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noa [102/156] Installing krb5-libs-0:1.21.2 100% | 204.3 MiB/s | 2.5 MiB | 00m00s [103/156] Installing libarchive-0:3.7.4 100% | 249.2 MiB/s | 1.0 MiB | 00m00s [104/156] Installing libtirpc-0:1.3.4-1 100% | 211.3 MiB/s | 216.4 KiB | 00m00s [105/156] Installing gzip-0:1.13-1.fc40 100% | 132.3 MiB/s | 406.3 KiB | 00m00s [106/156] Installing authselect-libs-0: 100% | 163.0 MiB/s | 834.5 KiB | 00m00s [107/156] Installing authselect-0:1.5.0 100% | 77.0 MiB/s | 157.7 KiB | 00m00s [108/156] Installing cracklib-0:2.9.11- 100% | 85.1 MiB/s | 261.4 KiB | 00m00s [109/156] Installing libpwquality-0:1.4 100% | 105.8 MiB/s | 433.2 KiB | 00m00s [110/156] Installing libnsl2-0:2.0.1-1. 100% | 61.4 MiB/s | 62.8 KiB | 00m00s [111/156] Installing pam-0:1.6.1-3.fc41 100% | 149.7 MiB/s | 1.8 MiB | 00m00s [112/156] Installing libssh-0:0.10.6-6. 100% | 172.9 MiB/s | 531.2 KiB | 00m00s [113/156] Installing rpm-sequoia-0:1.6. 100% | 264.5 MiB/s | 2.9 MiB | 00m00s [114/156] Installing rpm-libs-0:4.19.91 100% | 198.0 MiB/s | 811.0 KiB | 00m00s [115/156] Installing rpm-build-libs-0:4 100% | 214.1 MiB/s | 219.3 KiB | 00m00s [116/156] Installing libevent-0:2.1.12- 100% | 230.1 MiB/s | 942.5 KiB | 00m00s [117/156] Installing xxhash-libs-0:0.8. 100% | 0.0 B/s | 69.4 KiB | 00m00s [118/156] Installing libbrotli-0:1.1.0- 100% | 226.4 MiB/s | 927.4 KiB | 00m00s [119/156] Installing libnghttp2-0:1.62. 100% | 174.9 MiB/s | 179.1 KiB | 00m00s [120/156] Installing libtool-ltdl-0:2.4 100% | 73.3 MiB/s | 75.1 KiB | 00m00s [121/156] Installing openldap-0:2.6.8-1 100% | 221.2 MiB/s | 679.5 KiB | 00m00s [122/156] Installing libcurl-0:8.8.0-1. 100% | 208.6 MiB/s | 854.5 KiB | 00m00s [123/156] Installing elfutils-debuginfo 100% | 64.7 MiB/s | 66.3 KiB | 00m00s [124/156] Installing binutils-0:2.42.50 100% | 284.8 MiB/s | 26.5 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.42.50-14.fc41.s390x >>> Stop post-install scriptlet: binutils-0:2.42.50-14.fc41.s390x [125/156] Installing elfutils-0:0.191-7 100% | 296.7 MiB/s | 3.0 MiB | 00m00s [126/156] Installing gdb-minimal-0:14.2 100% | 272.2 MiB/s | 13.1 MiB | 00m00s [127/156] Installing debugedit-0:5.0-16 100% | 200.7 MiB/s | 205.5 KiB | 00m00s [128/156] Installing curl-0:8.8.0-1.fc4 100% | 57.8 MiB/s | 770.1 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.91-8.fc41.s390x >>> Stop pre-install scriptlet: rpm-0:4.19.91-8.fc41.s390x [129/156] Installing rpm-0:4.19.91-8.fc 100% | 138.5 MiB/s | 2.5 MiB | 00m00s [130/156] Installing efi-srpm-macros-0: 100% | 0.0 B/s | 41.2 KiB | 00m00s [131/156] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [132/156] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [133/156] Installing pkgconf-m4-0:2.1.1 100% | 0.0 B/s | 14.3 KiB | 00m00s [134/156] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [135/156] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [136/156] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [137/156] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [138/156] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [139/156] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [140/156] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [141/156] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [142/156] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [143/156] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [144/156] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [145/156] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [146/156] Installing ansible-srpm-macro 100% | 0.0 B/s | 36.2 KiB | 00m00s [147/156] Installing fonts-srpm-macros- 100% | 55.1 MiB/s | 56.5 KiB | 00m00s [148/156] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.3 KiB | 00m00s [149/156] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [150/156] Installing python-srpm-macros 100% | 50.5 MiB/s | 51.7 KiB | 00m00s [151/156] Installing redhat-rpm-config- 100% | 61.9 MiB/s | 190.0 KiB | 00m00s [152/156] Installing rpm-build-0:4.19.9 100% | 66.7 MiB/s | 204.9 KiB | 00m00s [153/156] Installing pyproject-srpm-mac 100% | 2.0 MiB/s | 2.1 KiB | 00m00s [154/156] Installing util-linux-0:2.40. 100% | 107.6 MiB/s | 3.8 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc41.s390x >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc41.s390x [155/156] Installing which-0:2.21-41.fc 100% | 42.1 MiB/s | 86.1 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [156/156] Installing info-0:7.1-2.fc40. 100% | 317.3 KiB/s | 405.5 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-9.fc41.s390x >>> Stop post-transaction scriptlet: filesystem-0:3.18-9.fc41.s390x >>> Running post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.f >>> Stop post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40 >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.s390x >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.s390x >>> Running post-transaction scriptlet: rpm-0:4.19.91-8.fc41.s390x >>> Stop post-transaction scriptlet: rpm-0:4.19.91-8.fc41.s390x >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.s390x >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.s390x >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.s390x >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.s390x Warning: skipped PGP checks for 154 packages from repositories: copr_base, http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.s390x alternatives-1.27-1.fc41.s390x ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.s390x authselect-1.5.0-5.fc41.s390x authselect-libs-1.5.0-5.fc41.s390x basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.s390x binutils-2.42.50-14.fc41.s390x build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.s390x bzip2-libs-1.0.8-18.fc40.s390x ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.s390x coreutils-common-9.5-2.fc41.s390x cpio-2.15-1.fc40.s390x cracklib-2.9.11-5.fc40.s390x crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.s390x cyrus-sasl-lib-2.1.28-22.fc41.s390x debugedit-5.0-16.fc41.s390x diffutils-3.10-5.fc40.s390x dwz-0.15-6.fc40.s390x ed-1.20.2-1.fc41.s390x efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.s390x elfutils-debuginfod-client-0.191-7.fc41.s390x elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.s390x elfutils-libs-0.191-7.fc41.s390x fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.s390x file-libs-5.45-5.fc41.s390x filesystem-3.18-9.fc41.s390x findutils-4.10.0-2.fc41.s390x fonts-srpm-macros-2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.s390x gdb-minimal-14.2-11.fc41.s390x gdbm-1.23-6.fc40.s390x gdbm-libs-1.23-6.fc40.s390x ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.s390x glibc-common-2.39.9000-18.fc41.s390x glibc-gconv-extra-2.39.9000-18.fc41.s390x glibc-minimal-langpack-2.39.9000-18.fc41.s390x gmp-6.3.0-1.fc41.s390x gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.s390x gzip-1.13-1.fc40.s390x info-7.1-2.fc40.s390x jansson-2.13.1-9.fc40.s390x kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.s390x krb5-libs-1.21.2-5.fc40.s390x libacl-2.3.2-1.fc40.s390x libarchive-3.7.4-1.fc41.s390x libattr-2.5.2-3.fc40.s390x libblkid-2.40.1-1.fc41.s390x libbrotli-1.1.0-3.fc40.s390x libcap-2.70-1.fc41.s390x libcap-ng-0.8.5-1.fc41.s390x libcom_err-1.47.0-5.fc40.s390x libcurl-8.8.0-1.fc41.s390x libeconf-0.6.2-2.fc41.s390x libevent-2.1.12-13.fc41.s390x libfdisk-2.40.1-1.fc41.s390x libffi-3.4.6-1.fc41.s390x libgcc-14.1.1-5.fc41.s390x libgomp-14.1.1-5.fc41.s390x libidn2-2.3.7-1.fc40.s390x libmount-2.40.1-1.fc41.s390x libnghttp2-1.62.0-1.fc41.s390x libnsl2-2.0.1-1.fc40.s390x libpkgconf-2.1.1-1.fc41.s390x libpsl-0.21.5-3.fc40.s390x libpwquality-1.4.5-9.fc40.s390x libselinux-3.6-4.fc40.s390x libsemanage-3.6-3.fc40.s390x libsepol-3.6-3.fc40.s390x libsmartcols-2.40.1-1.fc41.s390x libssh-0.10.6-6.fc41.s390x libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.s390x libtasn1-4.19.0-6.fc40.s390x libtirpc-1.3.4-1.rc3.fc41.s390x libtool-ltdl-2.4.7-11.fc41.s390x libunistring-1.1-7.fc41.s390x libutempter-1.2.1-13.fc40.s390x libuuid-2.40.1-1.fc41.s390x libverto-0.3.2-8.fc40.s390x libxcrypt-4.4.36-5.fc40.s390x libxml2-2.12.7-1.fc41.s390x libzstd-1.5.6-1.fc41.s390x lua-libs-5.4.6-5.fc40.s390x lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.s390x mpfr-4.2.1-4.fc41.s390x ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.s390x ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.s390x openssl-libs-3.2.2-1.fc41.s390x p11-kit-0.25.3-4.fc40.s390x p11-kit-trust-0.25.3-4.fc40.s390x package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.s390x pam-libs-1.6.1-3.fc41.s390x patch-2.7.6-24.fc40.s390x pcre2-10.43-2.fc41.1.s390x pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.s390x pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.s390x popt-1.19-6.fc40.s390x publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.s390x redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.s390x rpm-build-4.19.91-8.fc41.s390x rpm-build-libs-4.19.91-8.fc41.s390x rpm-libs-4.19.91-8.fc41.s390x rpm-sequoia-1.6.0-3.fc41.s390x rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.s390x setup-2.15.0-4.fc41.noarch shadow-utils-4.15.1-5.fc41.s390x sqlite-libs-3.46.0-1.fc41.s390x systemd-libs-256~rc4-2.fc41.s390x tar-1.35-3.fc40.s390x unzip-6.0-63.fc40.s390x util-linux-2.40.1-1.fc41.s390x util-linux-core-2.40.1-1.fc41.s390x which-2.21-41.fc40.s390x xxhash-libs-0.8.2-2.fc40.s390x xz-5.4.6-3.fc41.s390x xz-libs-5.4.6-3.fc41.s390x zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.s390x zlib-ng-compat-2.1.6-6.fc41.s390x zstd-1.5.6-1.fc41.s390x Start: buildsrpm Start: rpmbuild -bs Building target platforms: s390x Building for target s390x setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-rawhide-s390x-1717909719.367634/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-s390x-1717909719.367634/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-topzmvwg/nextpnr/nextpnr.spec) Config(child) 1 minutes 7 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(fedora-rawhide-s390x) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-s390x-bootstrap-1717909719.367634/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-s390x-bootstrap-1717909719.367634/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-s390x-1717909719.367634/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.91-8.fc41.s390x rpm-sequoia-1.6.0-3.fc41.s390x dnf5-5.2.3.0-1.fc41.s390x dnf5-plugins-5.2.3.0-1.fc41.s390x Finish: chroot init Start: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: s390x Building for target s390x setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Updating and loading repositories: Additional repo http_kojipkgs_fedorapr 100% | 179.3 KiB/s | 4.1 KiB | 00m00s Copr repository 100% | 96.1 KiB/s | 1.5 KiB | 00m00s fedora 100% | 359.5 KiB/s | 6.8 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: boost-devel s390x 1.83.0-7.fc41 copr_base 143.0 MiB boost-filesystem s390x 1.83.0-7.fc41 copr_base 150.7 KiB boost-iostreams s390x 1.83.0-7.fc41 copr_base 94.0 KiB boost-program-options s390x 1.83.0-7.fc41 copr_base 291.3 KiB boost-thread s390x 1.83.0-7.fc41 copr_base 140.1 KiB cmake s390x 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.1 MiB eigen3-devel noarch 3.4.0-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 MiB gcc-c++ s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.7 MiB icestorm s390x 0-0.33.20240524gitc23e99c.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 109.9 MiB libglvnd-devel s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB make s390x 1:4.4.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB python3-devel s390x 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB python3-setuptools noarch 69.2.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.2 MiB qt5-qtconfiguration-devel s390x 0.3.1-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.2 KiB trellis-devel s390x 1.2.1-26.20240524git2dab009.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.3 MiB Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 192.7 KiB add-determinism s390x 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.3 MiB annobin-docs noarch 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96.2 KiB annobin-plugin-gcc s390x 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 974.2 KiB avahi-libs s390x 0.8-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 181.6 KiB boost s390x 1.83.0-7.fc41 copr_base 1.3 KiB boost-atomic s390x 1.83.0-7.fc41 copr_base 20.7 KiB boost-chrono s390x 1.83.0-7.fc41 copr_base 41.2 KiB boost-container s390x 1.83.0-7.fc41 copr_base 69.2 KiB boost-context s390x 1.83.0-7.fc41 copr_base 16.3 KiB boost-contract s390x 1.83.0-7.fc41 copr_base 106.4 KiB boost-coroutine s390x 1.83.0-7.fc41 copr_base 20.9 KiB boost-date-time s390x 1.83.0-7.fc41 copr_base 16.1 KiB boost-fiber s390x 1.83.0-7.fc41 copr_base 86.1 KiB boost-graph s390x 1.83.0-7.fc41 copr_base 369.2 KiB boost-json s390x 1.83.0-7.fc41 copr_base 363.9 KiB boost-locale s390x 1.83.0-7.fc41 copr_base 635.1 KiB boost-log s390x 1.83.0-7.fc41 copr_base 1.5 MiB boost-math s390x 1.83.0-7.fc41 copr_base 713.4 KiB boost-nowide s390x 1.83.0-7.fc41 copr_base 24.7 KiB boost-numpy3 s390x 1.83.0-7.fc41 copr_base 57.2 KiB boost-python3 s390x 1.83.0-7.fc41 copr_base 256.2 KiB boost-random s390x 1.83.0-7.fc41 copr_base 33.4 KiB boost-regex s390x 1.83.0-7.fc41 copr_base 302.0 KiB boost-serialization s390x 1.83.0-7.fc41 copr_base 474.8 KiB boost-stacktrace s390x 1.83.0-7.fc41 copr_base 63.2 KiB boost-system s390x 1.83.0-7.fc41 copr_base 16.1 KiB boost-test s390x 1.83.0-7.fc41 copr_base 675.8 KiB boost-timer s390x 1.83.0-7.fc41 copr_base 24.8 KiB boost-type_erasure s390x 1.83.0-7.fc41 copr_base 61.8 KiB boost-wave s390x 1.83.0-7.fc41 copr_base 689.9 KiB cairo s390x 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB cmake-data noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.0 MiB cmake-filesystem s390x 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B cmake-rpm-macros noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.5 KiB cpp s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.5 MiB cups-libs s390x 1:2.4.8-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 726.7 KiB dbus s390x 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B dbus-broker s390x 36-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 393.8 KiB dbus-common noarch 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.2 KiB dbus-libs s390x 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 396.8 KiB dconf s390x 0.40.0-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 322.9 KiB default-fonts-core-sans noarch 4.0-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.9 KiB double-conversion s390x 3.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 100.2 KiB duktape s390x 2.7.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 736.0 KiB emacs-filesystem noarch 1:30.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B expat s390x 2.6.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 316.4 KiB flexiblas s390x 3.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48.5 KiB flexiblas-netlib s390x 3.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.2 MiB flexiblas-openblas-openmp s390x 3.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.2 KiB fontconfig s390x 2.15.0-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 801.7 KiB fonts-filesystem noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B freetype s390x 2.13.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 935.0 KiB gc s390x 8.2.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 273.8 KiB gcc s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 76.5 MiB gcc-plugin-annobin s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56.8 KiB glib2 s390x 2.80.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.9 MiB glibc-devel s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 460.5 KiB glibc-headers-s390 noarch 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB glx-utils s390x 9.0.0-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 454.5 KiB gnutls s390x 3.8.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB google-noto-fonts-common noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.5 KiB google-noto-sans-vf-fonts noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB graphite2 s390x 1.3.14-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 207.4 KiB groff-base s390x 1.23.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.3 MiB guile30 s390x 3.0.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 52.7 MiB harfbuzz s390x 8.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB jsoncpp s390x 1.9.5-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 247.5 KiB kernel-headers s390x 6.10.0-0.rc2.24.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.3 MiB libICE s390x 1.1.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 192.9 KiB libSM s390x 1.2.4-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 105.1 KiB libX11 s390x 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB libX11-common noarch 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libX11-devel s390x 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB libX11-xcb s390x 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.8 KiB libXau s390x 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66.6 KiB libXau-devel s390x 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.4 KiB libXext s390x 1.3.6-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 97.7 KiB libXfixes s390x 6.0.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30.1 KiB libXrender s390x 0.9.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53.9 KiB libXxf86vm s390x 1.1.5-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.1 KiB libasan s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB libatomic s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.3 KiB libb2 s390x 0.98.1-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42.0 KiB libdrm s390x 2.4.121-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 276.7 KiB libevdev s390x 1.13.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 121.9 KiB libftdi s390x 1.5-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 89.8 KiB libgfortran s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB libglvnd s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 903.7 KiB libglvnd-core-devel s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.3 KiB libglvnd-egl s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 76.6 KiB libglvnd-gles s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 129.7 KiB libglvnd-glx s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 793.4 KiB libglvnd-opengl s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 217.1 KiB libgudev s390x 238-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 87.6 KiB libicu s390x 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.4 MiB libicu-devel s390x 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.6 MiB libinput s390x 1.26.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 569.7 KiB libjpeg-turbo s390x 3.0.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 747.6 KiB libmpc s390x 1.3.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 172.5 KiB libpng s390x 2:1.6.40-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 253.6 KiB libproxy s390x 0.5.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 118.6 KiB libseccomp s390x 2.5.3-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 183.0 KiB libstdc++-devel s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.1 MiB libubsan s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 491.2 KiB libusb1 s390x 1.0.27-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 174.0 KiB libuv s390x 1:1.48.0-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 550.3 KiB libwacom s390x 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 102.4 KiB libwacom-data noarch 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB libwayland-client s390x 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73.9 KiB libwayland-server s390x 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 90.4 KiB libxcb s390x 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB libxcb-devel s390x 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB libxcrypt-devel s390x 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30.3 KiB libxkbcommon s390x 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 360.0 KiB libxkbcommon-x11 s390x 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.4 KiB libxshmfence s390x 1.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.1 KiB mesa-libEGL s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 387.7 KiB mesa-libEGL-devel s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.5 KiB mesa-libGL s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 594.1 KiB mesa-libgbm s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73.0 KiB mesa-libglapi s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 357.8 KiB mpdecimal s390x 2.5.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 228.6 KiB mtdev s390x 1.1.6-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.1 KiB ncurses s390x 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 635.3 KiB nettle s390x 3.9.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 846.2 KiB openblas s390x 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96.0 KiB openblas-openmp s390x 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.3 MiB pcre2-utf16 s390x 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 625.6 KiB perl-AutoLoader noarch 5.74-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.5 KiB perl-B s390x 1.88-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 516.1 KiB perl-Carp noarch 1.54-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 46.5 KiB perl-Class-Struct noarch 0.68-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.4 KiB perl-Data-Dumper s390x 2.189-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 115.5 KiB perl-Digest noarch 1.20-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.2 KiB perl-Digest-MD5 s390x 2.59-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 59.5 KiB perl-DynaLoader s390x 1.54-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.1 KiB perl-Encode s390x 4:3.21-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.6 MiB perl-English noarch 1.11-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 KiB perl-Errno s390x 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 KiB perl-Exporter noarch 5.78-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 54.2 KiB perl-Fcntl s390x 1.15-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.4 KiB perl-File-Basename noarch 2.86-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.0 KiB perl-File-Find noarch 1.43-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.9 KiB perl-File-Path noarch 2.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 162.3 KiB perl-File-stat noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.7 KiB perl-FileHandle noarch 2.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 KiB perl-Getopt-Long noarch 1:2.57-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.1 KiB perl-Getopt-Std noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.1 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 152.1 KiB perl-IO s390x 1.52-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 150.8 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 685.0 KiB perl-IPC-Open3 noarch 1.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB perl-MIME-Base64 s390x 3.16-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45.8 KiB perl-Mozilla-CA noarch 20240313-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.5 KiB perl-Net-SSLeay s390x 1.94-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB perl-POSIX s390x 2.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 244.8 KiB perl-PathTools s390x 3.91-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 179.4 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84.7 KiB perl-Scalar-List-Utils s390x 5:1.63-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149.2 KiB perl-SelectSaver noarch 1.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 KiB perl-Socket s390x 4:2.038-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 127.8 KiB perl-Storable s390x 1:3.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 232.1 KiB perl-Symbol noarch 1.09-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.3 KiB perl-Text-ParseWords noarch 3.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 68.9 KiB perl-URI noarch 5.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 240.2 KiB perl-base noarch 2.27-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.5 KiB perl-constant noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.2 KiB perl-if noarch 0.61.000-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.8 KiB perl-interpreter s390x 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 119.5 KiB perl-libnet noarch 3.15-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 289.0 KiB perl-libs s390x 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.2 MiB perl-locale noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 KiB perl-mro s390x 1.28-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.4 KiB perl-overload noarch 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 71.5 KiB perl-overloading noarch 0.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 KiB perl-parent noarch 1:0.241-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 308.1 KiB perl-vars noarch 1.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 KiB pixman s390x 0.43.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 584.4 KiB pyproject-rpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99.6 KiB python-pip-wheel noarch 24.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB python-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.1 KiB python3 s390x 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.3 KiB python3-libs s390x 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.8 MiB python3-numpy s390x 1:1.26.4-7.fc41 copr_base 42.0 MiB python3-packaging noarch 24.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 424.8 KiB python3-rpm-generators noarch 14-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 81.7 KiB python3-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.4 KiB qt-settings noarch 40.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 KiB qt5-qtbase s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.9 MiB qt5-qtbase-common noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.0 B qt5-qtbase-devel s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.7 MiB qt5-qtbase-gui s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.6 MiB qt5-qtconfiguration s390x 0.3.1-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.3 KiB qt5-qtdeclarative s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.4 MiB qt5-rpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 KiB rhash s390x 1.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 357.5 KiB systemd s390x 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.7 MiB systemd-pam s390x 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB systemd-rpm-macros noarch 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 KiB trellis s390x 1.2.1-26.20240524git2dab009.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 MiB trellis-data noarch 1.2.1-26.20240524git2dab009.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 81.2 MiB tzdata noarch 2024a-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB vim-filesystem noarch 2:9.1.452-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.0 B vulkan-headers noarch 1.3.283.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.1 MiB vulkan-loader s390x 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 584.8 KiB vulkan-loader-devel s390x 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.8 KiB xcb-util s390x 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34.2 KiB xcb-util-image s390x 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.0 KiB xcb-util-keysyms s390x 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16.6 KiB xcb-util-renderutil s390x 0.3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.2 KiB xcb-util-wm s390x 0.4.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 105.1 KiB xkeyboard-config noarch 2.41-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.6 MiB xml-common noarch 0.6.3-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.4 KiB xorg-x11-proto-devel noarch 2024.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB Transaction Summary: Installing: 240 packages Total size of inbound packages is 199 MiB. Need to download 199 MiB. After this operation 981 MiB will be used (install 981 MiB, remove 0 B). [ 1/240] boost-filesystem-0:1.83.0-7.f 100% | 3.7 MiB/s | 68.1 KiB | 00m00s [ 2/240] boost-iostreams-0:1.83.0-7.fc 100% | 8.1 KiB/s | 40.4 KiB | 00m05s [ 3/240] boost-program-options-0:1.83. 100% | 22.2 KiB/s | 111.0 KiB | 00m05s [ 4/240] boost-thread-0:1.83.0-7.fc41. 100% | 4.7 MiB/s | 62.2 KiB | 00m00s [ 5/240] boost-devel-0:1.83.0-7.fc41.s 100% | 2.3 MiB/s | 11.7 MiB | 00m05s [ 6/240] qt5-qtconfiguration-devel-0:0 100% | 442.1 KiB/s | 14.1 KiB | 00m00s [ 7/240] eigen3-devel-0:3.4.0-15.fc40. 100% | 54.8 MiB/s | 1.1 MiB | 00m00s [ 8/240] cmake-0:3.28.3-5.fc41.s390x 100% | 63.4 MiB/s | 9.3 MiB | 00m00s [ 9/240] icestorm-0:0-0.33.20240524git 100% | 105.1 MiB/s | 10.2 MiB | 00m00s [ 10/240] libglvnd-devel-1:1.7.0-4.fc40 100% | 11.8 MiB/s | 157.1 KiB | 00m00s [ 11/240] python3-devel-0:3.12.3-2.fc41 100% | 29.8 MiB/s | 274.7 KiB | 00m00s [ 12/240] make-1:4.4.1-6.fc40.s390x 100% | 53.3 MiB/s | 600.9 KiB | 00m00s [ 13/240] python3-setuptools-0:69.2.0-3 100% | 94.7 MiB/s | 1.4 MiB | 00m00s [ 14/240] boost-atomic-0:1.83.0-7.fc41. 100% | 9.3 MiB/s | 19.0 KiB | 00m00s [ 15/240] boost-system-0:1.83.0-7.fc41. 100% | 7.6 MiB/s | 15.6 KiB | 00m00s [ 16/240] boost-0:1.83.0-7.fc41.s390x 100% | 6.8 MiB/s | 13.9 KiB | 00m00s [ 17/240] boost-numpy3-0:1.83.0-7.fc41. 100% | 14.2 MiB/s | 29.1 KiB | 00m00s [ 18/240] trellis-devel-0:1.2.1-26.2024 100% | 15.2 MiB/s | 1.1 MiB | 00m00s [ 19/240] libstdc++-devel-0:14.1.1-5.fc 100% | 100.8 MiB/s | 2.6 MiB | 00m00s [ 20/240] boost-chrono-0:1.83.0-7.fc41. 100% | 8.3 MiB/s | 25.4 KiB | 00m00s [ 21/240] boost-container-0:1.83.0-7.fc 100% | 13.3 MiB/s | 41.0 KiB | 00m00s [ 22/240] boost-context-0:1.83.0-7.fc41 100% | 5.4 MiB/s | 16.7 KiB | 00m00s [ 23/240] boost-contract-0:1.83.0-7.fc4 100% | 11.0 MiB/s | 45.2 KiB | 00m00s [ 24/240] boost-coroutine-0:1.83.0-7.fc 100% | 6.6 MiB/s | 20.2 KiB | 00m00s [ 25/240] boost-date-time-0:1.83.0-7.fc 100% | 5.1 MiB/s | 15.6 KiB | 00m00s [ 26/240] boost-fiber-0:1.83.0-7.fc41.s 100% | 13.9 MiB/s | 42.8 KiB | 00m00s [ 27/240] boost-graph-0:1.83.0-7.fc41.s 100% | 38.8 MiB/s | 158.7 KiB | 00m00s [ 28/240] boost-json-0:1.83.0-7.fc41.s3 100% | 50.4 MiB/s | 154.9 KiB | 00m00s [ 29/240] boost-locale-0:1.83.0-7.fc41. 100% | 53.0 MiB/s | 217.2 KiB | 00m00s [ 30/240] boost-log-0:1.83.0-7.fc41.s39 100% | 61.0 MiB/s | 499.8 KiB | 00m00s [ 31/240] boost-math-0:1.83.0-7.fc41.s3 100% | 47.1 MiB/s | 240.9 KiB | 00m00s [ 32/240] boost-nowide-0:1.83.0-7.fc41. 100% | 10.0 MiB/s | 20.6 KiB | 00m00s [ 33/240] boost-python3-0:1.83.0-7.fc41 100% | 23.0 MiB/s | 94.0 KiB | 00m00s [ 34/240] boost-random-0:1.83.0-7.fc41. 100% | 7.8 MiB/s | 23.9 KiB | 00m00s [ 35/240] gcc-c++-0:14.1.1-5.fc41.s390x 100% | 38.7 MiB/s | 11.8 MiB | 00m00s [ 36/240] boost-regex-0:1.83.0-7.fc41.s 100% | 10.1 MiB/s | 123.6 KiB | 00m00s [ 37/240] boost-serialization-0:1.83.0- 100% | 44.5 MiB/s | 136.8 KiB | 00m00s [ 38/240] boost-stacktrace-0:1.83.0-7.f 100% | 9.8 MiB/s | 30.1 KiB | 00m00s [ 39/240] boost-timer-0:1.83.0-7.fc41.s 100% | 6.9 MiB/s | 21.3 KiB | 00m00s [ 40/240] boost-test-0:1.83.0-7.fc41.s3 100% | 60.9 MiB/s | 249.4 KiB | 00m00s [ 41/240] boost-type_erasure-0:1.83.0-7 100% | 10.8 MiB/s | 33.3 KiB | 00m00s [ 42/240] boost-wave-0:1.83.0-7.fc41.s3 100% | 55.1 MiB/s | 225.8 KiB | 00m00s [ 43/240] gcc-plugin-annobin-0:14.1.1-5 100% | 7.1 MiB/s | 51.1 KiB | 00m00s [ 44/240] gcc-0:14.1.1-5.fc41.s390x 100% | 135.2 MiB/s | 30.5 MiB | 00m00s [ 45/240] python3-0:3.12.3-2.fc41.s390x 100% | 4.4 MiB/s | 27.0 KiB | 00m00s [ 46/240] cpp-0:14.1.1-5.fc41.s390x 100% | 85.0 MiB/s | 9.6 MiB | 00m00s [ 47/240] libicu-devel-0:74.2-1.fc40.s3 100% | 52.1 MiB/s | 854.3 KiB | 00m00s [ 48/240] python3-numpy-1:1.26.4-7.fc41 100% | 107.4 MiB/s | 6.9 MiB | 00m00s [ 49/240] trellis-0:1.2.1-26.20240524gi 100% | 16.7 MiB/s | 802.5 KiB | 00m00s [ 50/240] python3-libs-0:3.12.3-2.fc41. 100% | 133.6 MiB/s | 8.8 MiB | 00m00s [ 51/240] cmake-filesystem-0:3.28.3-5.f 100% | 4.4 MiB/s | 17.9 KiB | 00m00s [ 52/240] libicu-0:74.2-1.fc40.s390x 100% | 50.0 MiB/s | 10.4 MiB | 00m00s [ 53/240] qt5-qtbase-devel-0:5.15.14-1. 100% | 98.2 MiB/s | 3.2 MiB | 00m00s [ 54/240] dconf-0:0.40.0-12.fc40.s390x 100% | 15.5 MiB/s | 110.9 KiB | 00m00s [ 55/240] trellis-data-0:1.2.1-26.20240 100% | 18.4 MiB/s | 1.1 MiB | 00m00s [ 56/240] qt5-qtconfiguration-0:0.3.1-2 100% | 2.0 MiB/s | 69.2 KiB | 00m00s [ 57/240] glib2-0:2.80.2-1.fc41.s390x 100% | 89.1 MiB/s | 3.0 MiB | 00m00s [ 58/240] qt5-qtbase-0:5.15.14-1.fc41.s 100% | 89.5 MiB/s | 3.8 MiB | 00m00s [ 59/240] mesa-libEGL-devel-0:24.1.1-2. 100% | 2.6 MiB/s | 23.6 KiB | 00m00s [ 60/240] perl-File-Basename-0:2.86-507 100% | 4.2 MiB/s | 17.3 KiB | 00m00s [ 61/240] perl-English-0:1.11-507.fc41. 100% | 3.3 MiB/s | 13.7 KiB | 00m00s [ 62/240] perl-File-Find-0:1.43-507.fc4 100% | 8.3 MiB/s | 25.4 KiB | 00m00s [ 63/240] perl-File-Path-0:2.18-503.fc4 100% | 8.6 MiB/s | 35.0 KiB | 00m00s [ 64/240] perl-Getopt-Long-1:2.57-4.fc4 100% | 20.7 MiB/s | 63.5 KiB | 00m00s [ 65/240] perl-IO-0:1.52-507.fc41.s390x 100% | 12.6 MiB/s | 77.6 KiB | 00m00s [ 66/240] perl-PathTools-0:3.91-503.fc4 100% | 16.1 MiB/s | 82.3 KiB | 00m00s [ 67/240] perl-interpreter-4:5.38.2-507 100% | 14.0 MiB/s | 71.8 KiB | 00m00s [ 68/240] qt5-qtdeclarative-0:5.15.14-1 100% | 66.5 MiB/s | 4.5 MiB | 00m00s [ 69/240] qt5-rpm-macros-0:5.15.14-1.fc 100% | 1.7 MiB/s | 10.6 KiB | 00m00s [ 70/240] perl-libs-4:5.38.2-507.fc41.s 100% | 84.4 MiB/s | 2.4 MiB | 00m00s [ 71/240] vulkan-loader-devel-0:1.3.283 100% | 1.7 MiB/s | 12.3 KiB | 00m00s [ 72/240] dbus-libs-1:1.14.10-3.fc40.s3 100% | 19.4 MiB/s | 158.5 KiB | 00m00s [ 73/240] double-conversion-0:3.3.0-3.f 100% | 6.4 MiB/s | 52.8 KiB | 00m00s [ 74/240] libproxy-0:0.5.5-1.fc41.s390x 100% | 6.6 MiB/s | 47.5 KiB | 00m00s [ 75/240] qt5-qtbase-gui-0:5.15.14-1.fc 100% | 145.8 MiB/s | 6.9 MiB | 00m00s [ 76/240] qt-settings-0:40.0-1.fc41.noa 100% | 1.6 MiB/s | 10.1 KiB | 00m00s [ 77/240] pcre2-utf16-0:10.43-2.fc41.1. 100% | 23.6 MiB/s | 241.6 KiB | 00m00s [ 78/240] qt5-qtbase-common-0:5.15.14-1 100% | 2.9 MiB/s | 11.8 KiB | 00m00s [ 79/240] libb2-0:0.98.1-11.fc40.s390x 100% | 5.2 MiB/s | 26.8 KiB | 00m00s [ 80/240] expat-0:2.6.2-1.fc41.s390x 100% | 11.4 MiB/s | 117.1 KiB | 00m00s [ 81/240] add-determinism-0:0.2.0-9.fc4 100% | 93.3 MiB/s | 1.0 MiB | 00m00s [ 82/240] mpdecimal-0:2.5.1-9.fc40.s390 100% | 12.4 MiB/s | 101.2 KiB | 00m00s [ 83/240] tzdata-0:2024a-8.fc41.noarch 100% | 42.1 MiB/s | 430.8 KiB | 00m00s [ 84/240] pyproject-rpm-macros-0:1.12.1 100% | 8.0 MiB/s | 40.9 KiB | 00m00s [ 85/240] python-pip-wheel-0:24.0-2.fc4 100% | 98.4 MiB/s | 1.5 MiB | 00m00s [ 86/240] python-rpm-macros-0:3.12-9.fc 100% | 4.4 MiB/s | 18.0 KiB | 00m00s [ 87/240] python3-rpm-generators-0:14-1 100% | 7.2 MiB/s | 29.6 KiB | 00m00s [ 88/240] python3-rpm-macros-0:3.12-9.f 100% | 4.2 MiB/s | 12.8 KiB | 00m00s [ 89/240] perl-Carp-0:1.54-502.fc40.noa 100% | 9.3 MiB/s | 28.7 KiB | 00m00s [ 90/240] perl-DynaLoader-0:1.54-507.fc 100% | 5.1 MiB/s | 26.1 KiB | 00m00s [ 91/240] perl-Exporter-0:5.78-3.fc40.n 100% | 10.0 MiB/s | 30.8 KiB | 00m00s [ 92/240] perl-Errno-0:1.37-507.fc41.s3 100% | 2.9 MiB/s | 15.0 KiB | 00m00s [ 93/240] perl-Scalar-List-Utils-5:1.63 100% | 9.0 MiB/s | 74.0 KiB | 00m00s [ 94/240] perl-constant-0:1.33-503.fc40 100% | 5.6 MiB/s | 22.8 KiB | 00m00s [ 95/240] perl-Encode-4:3.21-505.fc41.s 100% | 100.1 MiB/s | 1.7 MiB | 00m00s [ 96/240] perl-Fcntl-0:1.15-507.fc41.s3 100% | 5.0 MiB/s | 20.7 KiB | 00m00s [ 97/240] perl-File-stat-0:1.13-507.fc4 100% | 4.2 MiB/s | 17.3 KiB | 00m00s [ 98/240] perl-SelectSaver-0:1.02-507.f 100% | 5.8 MiB/s | 11.8 KiB | 00m00s [ 99/240] perl-Symbol-0:1.09-507.fc41.n 100% | 4.7 MiB/s | 14.3 KiB | 00m00s [100/240] perl-Socket-4:2.038-1.fc41.s3 100% | 9.0 MiB/s | 55.3 KiB | 00m00s [101/240] perl-Pod-Usage-4:2.03-504.fc4 100% | 9.7 MiB/s | 39.8 KiB | 00m00s [102/240] perl-Text-ParseWords-0:3.31-5 100% | 5.3 MiB/s | 16.3 KiB | 00m00s [103/240] perl-base-0:2.27-507.fc41.noa 100% | 4.0 MiB/s | 16.3 KiB | 00m00s [104/240] perl-overload-0:1.37-507.fc41 100% | 11.2 MiB/s | 45.7 KiB | 00m00s [105/240] perl-Class-Struct-0:0.68-507. 100% | 7.2 MiB/s | 22.2 KiB | 00m00s [106/240] perl-vars-0:1.05-507.fc41.noa 100% | 4.3 MiB/s | 13.1 KiB | 00m00s [107/240] perl-Getopt-Std-0:1.13-507.fc 100% | 3.9 MiB/s | 15.8 KiB | 00m00s [108/240] perl-MIME-Base64-0:3.16-503.f 100% | 5.9 MiB/s | 30.2 KiB | 00m00s [109/240] perl-parent-1:0.241-502.fc40. 100% | 4.8 MiB/s | 14.7 KiB | 00m00s [110/240] perl-Storable-1:3.32-502.fc40 100% | 16.2 MiB/s | 99.5 KiB | 00m00s [111/240] libX11-xcb-0:1.8.9-1.fc41.s39 100% | 2.3 MiB/s | 11.6 KiB | 00m00s [112/240] mesa-libEGL-0:24.1.1-2.fc41.s 100% | 20.8 MiB/s | 149.3 KiB | 00m00s [113/240] libglvnd-egl-1:1.7.0-4.fc40.s 100% | 5.3 MiB/s | 38.3 KiB | 00m00s [114/240] libwayland-client-0:1.22.0-3. 100% | 5.5 MiB/s | 33.6 KiB | 00m00s [115/240] libdrm-0:2.4.121-1.fc41.s390x 100% | 9.1 MiB/s | 103.0 KiB | 00m00s [116/240] libwayland-server-0:1.22.0-3. 100% | 10.3 MiB/s | 42.1 KiB | 00m00s [117/240] libxshmfence-0:1.3.2-3.fc40.s 100% | 1.9 MiB/s | 11.9 KiB | 00m00s [118/240] libxcb-0:1.17.0-1.fc41.s390x 100% | 29.8 MiB/s | 244.5 KiB | 00m00s [119/240] mesa-libgbm-0:24.1.1-2.fc41.s 100% | 11.9 MiB/s | 48.8 KiB | 00m00s [120/240] mesa-libglapi-0:24.1.1-2.fc41 100% | 15.3 MiB/s | 78.6 KiB | 00m00s [121/240] libXau-0:1.0.11-6.fc40.s390x 100% | 6.2 MiB/s | 31.6 KiB | 00m00s [122/240] duktape-0:2.7.0-7.fc40.s390x 100% | 24.2 MiB/s | 173.6 KiB | 00m00s [123/240] libglvnd-1:1.7.0-4.fc40.s390x 100% | 17.7 MiB/s | 145.1 KiB | 00m00s [124/240] libglvnd-core-devel-1:1.7.0-4 100% | 2.1 MiB/s | 17.3 KiB | 00m00s [125/240] libglvnd-gles-1:1.7.0-4.fc40. 100% | 7.3 MiB/s | 37.4 KiB | 00m00s [126/240] libX11-devel-0:1.8.9-1.fc41.s 100% | 49.5 MiB/s | 911.5 KiB | 00m00s [127/240] libglvnd-opengl-1:1.7.0-4.fc4 100% | 6.6 MiB/s | 53.7 KiB | 00m00s [128/240] libglvnd-glx-1:1.7.0-4.fc40.s 100% | 15.1 MiB/s | 170.4 KiB | 00m00s [129/240] guile30-0:3.0.9-1.fc41.s390x 100% | 143.7 MiB/s | 8.2 MiB | 00m00s [130/240] libX11-0:1.8.9-1.fc41.s390x 100% | 32.8 MiB/s | 671.7 KiB | 00m00s [131/240] libX11-common-0:1.8.9-1.fc41. 100% | 23.5 MiB/s | 144.5 KiB | 00m00s [132/240] xorg-x11-proto-devel-0:2024.1 100% | 32.4 MiB/s | 265.7 KiB | 00m00s [133/240] libxcb-devel-0:1.17.0-1.fc41. 100% | 41.2 MiB/s | 1.1 MiB | 00m00s [134/240] gc-0:8.2.2-6.fc40.s390x 100% | 18.5 MiB/s | 113.9 KiB | 00m00s [135/240] libmpc-0:1.3.1-5.fc40.s390x 100% | 12.1 MiB/s | 74.1 KiB | 00m00s [136/240] gnutls-0:3.8.5-2.fc41.s390x 100% | 62.0 MiB/s | 1.1 MiB | 00m00s [137/240] annobin-plugin-gcc-0:12.54-2. 100% | 85.6 MiB/s | 964.2 KiB | 00m00s [138/240] glibc-devel-0:2.39.9000-18.fc 100% | 21.7 MiB/s | 133.3 KiB | 00m00s [139/240] libftdi-0:1.5-12.fc40.s390x 100% | 1.6 MiB/s | 44.2 KiB | 00m00s [140/240] libatomic-0:14.1.1-5.fc41.s39 100% | 6.1 MiB/s | 37.7 KiB | 00m00s [141/240] libasan-0:14.1.1-5.fc41.s390x 100% | 47.0 MiB/s | 528.9 KiB | 00m00s [142/240] libubsan-0:14.1.1-5.fc41.s390 100% | 28.1 MiB/s | 230.0 KiB | 00m00s [143/240] dbus-1:1.14.10-3.fc40.s390x 100% | 1.3 MiB/s | 7.9 KiB | 00m00s [144/240] cmake-rpm-macros-0:3.28.3-5.f 100% | 3.4 MiB/s | 17.5 KiB | 00m00s [145/240] dbus-broker-0:36-2.fc41.s390x 100% | 28.1 MiB/s | 172.4 KiB | 00m00s [146/240] jsoncpp-0:1.9.5-7.fc40.s390x 100% | 15.6 MiB/s | 95.6 KiB | 00m00s [147/240] libuv-1:1.48.0-1.fc40.s390x 100% | 31.0 MiB/s | 254.1 KiB | 00m00s [148/240] rhash-0:1.4.4-1.fc41.s390x 100% | 28.1 MiB/s | 201.5 KiB | 00m00s [149/240] annobin-docs-0:12.54-2.fc41.n 100% | 21.9 MiB/s | 89.8 KiB | 00m00s [150/240] cmake-data-0:3.28.3-5.fc41.no 100% | 73.2 MiB/s | 1.8 MiB | 00m00s [151/240] vulkan-headers-0:1.3.283.0-1. 100% | 68.0 MiB/s | 1.2 MiB | 00m00s [152/240] vulkan-loader-0:1.3.283.0-2.f 100% | 14.2 MiB/s | 159.9 KiB | 00m00s [153/240] cups-libs-1:2.4.8-5.fc41.s390 100% | 30.2 MiB/s | 278.3 KiB | 00m00s [154/240] fontconfig-0:2.15.0-6.fc41.s3 100% | 25.9 MiB/s | 265.3 KiB | 00m00s [155/240] flexiblas-netlib-0:3.4.4-1.fc 100% | 115.9 MiB/s | 3.8 MiB | 00m00s [156/240] glx-utils-0:9.0.0-7.fc41.s390 100% | 8.7 MiB/s | 71.4 KiB | 00m00s [157/240] freetype-0:2.13.2-5.fc40.s390 100% | 33.4 MiB/s | 445.2 KiB | 00m00s [158/240] libICE-0:1.1.1-3.fc40.s390x 100% | 10.8 MiB/s | 77.2 KiB | 00m00s [159/240] libSM-0:1.2.4-3.fc40.s390x 100% | 8.7 MiB/s | 44.8 KiB | 00m00s [160/240] harfbuzz-0:8.4.0-1.fc41.s390x 100% | 65.7 MiB/s | 1.1 MiB | 00m00s [161/240] libinput-0:1.26.0-1.fc41.s390 100% | 25.3 MiB/s | 207.0 KiB | 00m00s [162/240] libjpeg-turbo-0:3.0.2-2.fc41. 100% | 29.7 MiB/s | 243.0 KiB | 00m00s [163/240] libpng-2:1.6.40-3.fc40.s390x 100% | 14.2 MiB/s | 130.5 KiB | 00m00s [164/240] libxkbcommon-0:1.7.0-1.fc41.s 100% | 20.9 MiB/s | 149.6 KiB | 00m00s [165/240] libxkbcommon-x11-0:1.7.0-1.fc 100% | 4.3 MiB/s | 22.0 KiB | 00m00s [166/240] xcb-util-image-0:0.4.1-5.fc40 100% | 2.7 MiB/s | 19.5 KiB | 00m00s [167/240] xcb-util-keysyms-0:0.4.1-5.fc 100% | 2.8 MiB/s | 14.2 KiB | 00m00s [168/240] xcb-util-renderutil-0:0.3.10- 100% | 2.8 MiB/s | 17.0 KiB | 00m00s [169/240] python3-packaging-0:24.0-1.fc 100% | 28.4 MiB/s | 116.4 KiB | 00m00s [170/240] xcb-util-wm-0:0.4.2-5.fc40.s3 100% | 7.8 MiB/s | 32.1 KiB | 00m00s [171/240] perl-mro-0:1.28-507.fc41.s390 100% | 7.1 MiB/s | 28.9 KiB | 00m00s [172/240] perl-overloading-0:0.02-507.f 100% | 4.2 MiB/s | 13.0 KiB | 00m00s [173/240] perl-Pod-Perldoc-0:3.28.01-50 100% | 19.4 MiB/s | 79.4 KiB | 00m00s [174/240] perl-podlators-1:5.01-502.fc4 100% | 29.5 MiB/s | 120.9 KiB | 00m00s [175/240] perl-File-Temp-1:0.231.100-50 100% | 19.2 MiB/s | 59.0 KiB | 00m00s [176/240] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 13.6 MiB/s | 55.6 KiB | 00m00s [177/240] perl-IPC-Open3-0:1.22-507.fc4 100% | 5.4 MiB/s | 22.0 KiB | 00m00s [178/240] groff-base-0:1.23.0-6.fc40.s3 100% | 103.2 MiB/s | 1.1 MiB | 00m00s [179/240] perl-Pod-Simple-1:3.45-6.fc40 100% | 33.9 MiB/s | 208.6 KiB | 00m00s [180/240] perl-POSIX-0:2.13-507.fc41.s3 100% | 19.1 MiB/s | 98.0 KiB | 00m00s [181/240] perl-IO-Socket-SSL-0:2.085-1. 100% | 43.8 MiB/s | 224.5 KiB | 00m00s [182/240] perl-Mozilla-CA-0:20240313-1. 100% | 2.7 MiB/s | 14.0 KiB | 00m00s [183/240] perl-Time-Local-2:1.350-5.fc4 100% | 11.2 MiB/s | 34.3 KiB | 00m00s [184/240] libXau-devel-0:1.0.11-6.fc40. 100% | 2.2 MiB/s | 13.6 KiB | 00m00s [185/240] perl-Net-SSLeay-0:1.94-3.fc40 100% | 27.8 MiB/s | 369.7 KiB | 00m00s [186/240] xkeyboard-config-0:2.41-1.fc4 100% | 69.2 MiB/s | 920.7 KiB | 00m00s [187/240] libevdev-0:1.13.2-2.fc41.s390 100% | 7.0 MiB/s | 42.8 KiB | 00m00s [188/240] libwacom-0:2.12.0-1.fc41.s390 100% | 7.3 MiB/s | 44.8 KiB | 00m00s [189/240] mtdev-0:1.1.6-8.fc40.s390x 100% | 4.2 MiB/s | 21.7 KiB | 00m00s [190/240] libXext-0:1.3.6-1.fc40.s390x 100% | 5.6 MiB/s | 40.0 KiB | 00m00s [191/240] mesa-libGL-0:24.1.1-2.fc41.s3 100% | 39.1 MiB/s | 200.4 KiB | 00m00s [192/240] libusb1-0:1.0.27-2.fc41.s390x 100% | 12.3 MiB/s | 75.5 KiB | 00m00s [193/240] graphite2-0:1.3.14-15.fc40.s3 100% | 10.9 MiB/s | 100.5 KiB | 00m00s [194/240] cairo-0:1.18.0-3.fc40.s390x 100% | 54.7 MiB/s | 728.6 KiB | 00m00s [195/240] glibc-headers-s390-0:2.39.900 100% | 37.2 MiB/s | 534.0 KiB | 00m00s [196/240] nettle-0:3.9.1-6.fc40.s390x 100% | 24.7 MiB/s | 456.2 KiB | 00m00s [197/240] libxcrypt-devel-0:4.4.36-5.fc 100% | 3.5 MiB/s | 28.6 KiB | 00m00s [198/240] kernel-headers-0:6.10.0-0.rc2 100% | 90.4 MiB/s | 1.4 MiB | 00m00s [199/240] default-fonts-core-sans-0:4.0 100% | 3.5 MiB/s | 24.8 KiB | 00m00s [200/240] fonts-filesystem-1:2.0.5-15.f 100% | 1.6 MiB/s | 8.3 KiB | 00m00s [201/240] xml-common-0:0.6.3-63.fc40.no 100% | 5.0 MiB/s | 31.0 KiB | 00m00s [202/240] flexiblas-0:3.4.4-1.fc41.s390 100% | 4.1 MiB/s | 25.3 KiB | 00m00s [203/240] flexiblas-openblas-openmp-0:3 100% | 3.4 MiB/s | 17.3 KiB | 00m00s [204/240] systemd-0:256~rc4-2.fc41.s390 100% | 80.5 MiB/s | 5.2 MiB | 00m00s [205/240] libgfortran-0:14.1.1-5.fc41.s 100% | 66.6 MiB/s | 614.2 KiB | 00m00s [206/240] abattis-cantarell-vf-fonts-0: 100% | 11.8 MiB/s | 120.3 KiB | 00m00s [207/240] dbus-common-1:1.14.10-3.fc40. 100% | 2.9 MiB/s | 14.8 KiB | 00m00s [208/240] avahi-libs-0:0.8-26.fc40.s390 100% | 13.1 MiB/s | 67.2 KiB | 00m00s [209/240] google-noto-sans-vf-fonts-0:2 100% | 52.7 MiB/s | 593.5 KiB | 00m00s [210/240] emacs-filesystem-1:30.0-2.fc4 100% | 1.7 MiB/s | 7.1 KiB | 00m00s [211/240] vim-filesystem-2:9.1.452-1.fc 100% | 3.3 MiB/s | 16.9 KiB | 00m00s [212/240] libXrender-0:0.9.11-6.fc40.s3 100% | 4.6 MiB/s | 28.4 KiB | 00m00s [213/240] pixman-0:0.43.4-1.fc41.s390x 100% | 31.5 MiB/s | 226.1 KiB | 00m00s [214/240] xcb-util-0:0.4.1-5.fc40.s390x 100% | 3.6 MiB/s | 18.6 KiB | 00m00s [215/240] systemd-rpm-macros-0:256~rc4- 100% | 6.2 MiB/s | 31.9 KiB | 00m00s [216/240] libseccomp-0:2.5.3-8.fc40.s39 100% | 7.9 MiB/s | 72.8 KiB | 00m00s [217/240] perl-Term-ANSIColor-0:5.01-50 100% | 9.3 MiB/s | 47.6 KiB | 00m00s [218/240] systemd-pam-0:256~rc4-2.fc41. 100% | 39.0 MiB/s | 399.6 KiB | 00m00s [219/240] perl-Term-Cap-0:1.18-503.fc40 100% | 7.1 MiB/s | 21.9 KiB | 00m00s [220/240] perl-Pod-Escapes-1:1.07-503.f 100% | 6.4 MiB/s | 19.6 KiB | 00m00s [221/240] perl-Text-Tabs+Wrap-0:2024.00 100% | 4.2 MiB/s | 21.6 KiB | 00m00s [222/240] perl-if-0:0.61.000-507.fc41.n 100% | 3.5 MiB/s | 14.1 KiB | 00m00s [223/240] ncurses-0:6.4-12.20240127.fc4 100% | 34.5 MiB/s | 424.3 KiB | 00m00s [224/240] perl-locale-0:1.10-507.fc41.n 100% | 4.5 MiB/s | 13.8 KiB | 00m00s [225/240] perl-AutoLoader-0:5.74-507.fc 100% | 5.2 MiB/s | 21.4 KiB | 00m00s [226/240] perl-IO-Socket-IP-0:0.42-2.fc 100% | 6.8 MiB/s | 41.7 KiB | 00m00s [227/240] perl-URI-0:5.28-1.fc41.noarch 100% | 16.7 MiB/s | 119.6 KiB | 00m00s [228/240] libXfixes-0:6.0.1-3.fc40.s390 100% | 2.3 MiB/s | 19.0 KiB | 00m00s [229/240] libXxf86vm-0:1.1.5-6.fc40.s39 100% | 4.4 MiB/s | 17.9 KiB | 00m00s [230/240] libgudev-0:238-5.fc40.s390x 100% | 6.7 MiB/s | 34.1 KiB | 00m00s [231/240] google-noto-fonts-common-0:20 100% | 3.4 MiB/s | 17.5 KiB | 00m00s [232/240] libwacom-data-0:2.12.0-1.fc41 100% | 24.9 MiB/s | 178.4 KiB | 00m00s [233/240] perl-Data-Dumper-0:2.189-504. 100% | 9.3 MiB/s | 57.3 KiB | 00m00s [234/240] perl-libnet-0:3.15-503.fc40.n 100% | 29.9 MiB/s | 122.5 KiB | 00m00s [235/240] openblas-0:0.3.26-4.fc40.s390 100% | 5.4 MiB/s | 38.6 KiB | 00m00s [236/240] perl-B-0:1.88-507.fc41.s390x 100% | 17.4 MiB/s | 178.3 KiB | 00m00s [237/240] perl-Digest-MD5-0:2.59-3.fc40 100% | 5.0 MiB/s | 35.5 KiB | 00m00s [238/240] perl-FileHandle-0:2.05-507.fc 100% | 3.1 MiB/s | 15.6 KiB | 00m00s [239/240] openblas-openmp-0:0.3.26-4.fc 100% | 137.3 MiB/s | 4.4 MiB | 00m00s [240/240] perl-Digest-0:1.20-502.fc40.n 100% | 3.0 MiB/s | 24.6 KiB | 00m00s -------------------------------------------------------------------------------- [240/240] Total 100% | 32.1 MiB/s | 199.4 MiB | 00m06s Running transaction [ 1/242] Verify package files 100% | 323.0 B/s | 240.0 B | 00m01s [ 2/242] Prepare transaction 100% | 710.0 B/s | 240.0 B | 00m00s [ 3/242] Installing boost-system-0:1.8 100% | 16.7 MiB/s | 17.1 KiB | 00m00s [ 4/242] Installing boost-thread-0:1.8 100% | 137.8 MiB/s | 141.1 KiB | 00m00s [ 5/242] Installing cmake-filesystem-0 100% | 7.0 MiB/s | 7.1 KiB | 00m00s [ 6/242] Installing boost-chrono-0:1.8 100% | 41.2 MiB/s | 42.2 KiB | 00m00s [ 7/242] Installing expat-0:2.6.2-1.fc 100% | 155.5 MiB/s | 318.5 KiB | 00m00s [ 8/242] Installing libicu-0:74.2-1.fc 100% | 265.9 MiB/s | 35.4 MiB | 00m00s [ 9/242] Installing libglvnd-1:1.7.0-4 100% | 294.6 MiB/s | 905.1 KiB | 00m00s [ 10/242] Installing libdrm-0:2.4.121-1 100% | 136.7 MiB/s | 280.0 KiB | 00m00s [ 11/242] Installing libX11-xcb-0:1.8.9 100% | 0.0 B/s | 15.6 KiB | 00m00s [ 12/242] Installing boost-regex-0:1.83 100% | 295.9 MiB/s | 303.0 KiB | 00m00s [ 13/242] Installing fonts-filesystem-1 100% | 0.0 B/s | 788.0 B | 00m00s [ 14/242] Installing libpng-2:1.6.40-3. 100% | 248.9 MiB/s | 254.8 KiB | 00m00s [ 15/242] Installing libmpc-0:1.3.1-5.f 100% | 169.9 MiB/s | 174.0 KiB | 00m00s [ 16/242] Installing python-rpm-macros- 100% | 0.0 B/s | 22.8 KiB | 00m00s [ 17/242] Installing boost-context-0:1. 100% | 0.0 B/s | 17.3 KiB | 00m00s [ 18/242] Installing boost-atomic-0:1.8 100% | 0.0 B/s | 21.7 KiB | 00m00s [ 19/242] Installing boost-filesystem-0 100% | 148.1 MiB/s | 151.7 KiB | 00m00s [ 20/242] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 21/242] Installing libgfortran-0:14.1 100% | 310.2 MiB/s | 2.2 MiB | 00m00s [ 22/242] Installing libevdev-0:1.13.2- 100% | 120.1 MiB/s | 123.0 KiB | 00m00s [ 23/242] Installing libICE-0:1.1.1-3.f 100% | 189.8 MiB/s | 194.3 KiB | 00m00s [ 24/242] Installing xorg-x11-proto-dev 100% | 198.1 MiB/s | 1.8 MiB | 00m00s [ 25/242] Installing libXau-0:1.0.11-6. 100% | 66.5 MiB/s | 68.1 KiB | 00m00s [ 26/242] Installing libxcb-0:1.17.0-1. 100% | 197.6 MiB/s | 1.2 MiB | 00m00s [ 27/242] Installing mesa-libglapi-0:24 100% | 350.2 MiB/s | 358.6 KiB | 00m00s [ 28/242] Installing libxshmfence-0:1.3 100% | 0.0 B/s | 16.0 KiB | 00m00s [ 29/242] Installing libwayland-server- 100% | 89.4 MiB/s | 91.5 KiB | 00m00s [ 30/242] Installing mesa-libgbm-0:24.1 100% | 72.1 MiB/s | 73.8 KiB | 00m00s [ 31/242] Installing dbus-libs-1:1.14.1 100% | 129.5 MiB/s | 398.0 KiB | 00m00s [ 32/242] Installing trellis-data-0:1.2 100% | 493.4 MiB/s | 81.4 MiB | 00m00s [ 33/242] Installing boost-date-time-0: 100% | 0.0 B/s | 17.1 KiB | 00m00s [ 34/242] Installing boost-container-0: 100% | 0.0 B/s | 70.0 KiB | 00m00s [ 35/242] Installing boost-program-opti 100% | 142.7 MiB/s | 292.3 KiB | 00m00s [ 36/242] Installing trellis-0:1.2.1-26 100% | 215.3 MiB/s | 2.6 MiB | 00m00s [ 37/242] Installing boost-json-0:1.83. 100% | 178.2 MiB/s | 364.9 KiB | 00m00s [ 38/242] Installing boost-wave-0:1.83. 100% | 224.9 MiB/s | 690.9 KiB | 00m00s [ 39/242] Installing avahi-libs-0:0.8-2 100% | 179.9 MiB/s | 184.2 KiB | 00m00s [ 40/242] Installing xcb-util-keysyms-0 100% | 0.0 B/s | 17.7 KiB | 00m00s [ 41/242] Installing xcb-util-renderuti 100% | 0.0 B/s | 29.7 KiB | 00m00s [ 42/242] Installing xcb-util-wm-0:0.4. 100% | 104.6 MiB/s | 107.1 KiB | 00m00s [ 43/242] Installing xcb-util-0:0.4.1-5 100% | 0.0 B/s | 35.6 KiB | 00m00s [ 44/242] Installing xcb-util-image-0:0 100% | 0.0 B/s | 27.4 KiB | 00m00s [ 45/242] Installing libXau-devel-0:1.0 100% | 2.0 MiB/s | 8.2 KiB | 00m00s [ 46/242] Installing libxcb-devel-0:1.1 100% | 62.6 MiB/s | 3.1 MiB | 00m00s [ 47/242] Installing libSM-0:1.2.4-3.fc 100% | 104.0 MiB/s | 106.5 KiB | 00m00s [ 48/242] Installing pyproject-rpm-macr 100% | 99.2 MiB/s | 101.6 KiB | 00m00s [ 49/242] Installing boost-fiber-0:1.83 100% | 85.0 MiB/s | 87.1 KiB | 00m00s [ 50/242] Installing boost-log-0:1.83.0 100% | 257.6 MiB/s | 1.5 MiB | 00m00s [ 51/242] Installing boost-coroutine-0: 100% | 0.0 B/s | 21.9 KiB | 00m00s [ 52/242] Installing cpp-0:14.1.1-5.fc4 100% | 244.8 MiB/s | 25.5 MiB | 00m00s [ 53/242] Installing abattis-cantarell- 100% | 189.9 MiB/s | 194.4 KiB | 00m00s [ 54/242] Installing boost-graph-0:1.83 100% | 180.7 MiB/s | 370.2 KiB | 00m00s [ 55/242] Installing libglvnd-opengl-1: 100% | 212.9 MiB/s | 218.0 KiB | 00m00s [ 56/242] Installing boost-locale-0:1.8 100% | 155.3 MiB/s | 636.1 KiB | 00m00s [ 57/242] Installing libicu-devel-0:74. 100% | 235.0 MiB/s | 5.6 MiB | 00m00s [ 58/242] Installing boost-timer-0:1.83 100% | 0.0 B/s | 25.8 KiB | 00m00s [ 59/242] Installing boost-type_erasure 100% | 61.4 MiB/s | 62.8 KiB | 00m00s [ 60/242] Installing openblas-0:0.3.26- 100% | 0.0 B/s | 97.8 KiB | 00m00s [ 61/242] Installing openblas-openmp-0: 100% | 282.5 MiB/s | 15.3 MiB | 00m00s [ 62/242] Installing flexiblas-0:3.4.4- 100% | 0.0 B/s | 49.7 KiB | 00m00s [ 63/242] Installing flexiblas-openblas 100% | 39.1 MiB/s | 40.0 KiB | 00m00s [ 64/242] Installing flexiblas-netlib-0 100% | 277.3 MiB/s | 12.2 MiB | 00m00s [ 65/242] Installing google-noto-fonts- 100% | 0.0 B/s | 18.3 KiB | 00m00s [ 66/242] Installing google-noto-sans-v 100% | 208.2 MiB/s | 1.2 MiB | 00m00s [ 67/242] Installing default-fonts-core 100% | 5.9 MiB/s | 18.2 KiB | 00m00s [ 68/242] Installing libwacom-data-0:2. 100% | 89.6 MiB/s | 1.3 MiB | 00m00s [ 69/242] Installing ncurses-0:6.4-12.2 100% | 208.9 MiB/s | 641.9 KiB | 00m00s [ 70/242] Installing libseccomp-0:2.5.3 100% | 180.5 MiB/s | 184.9 KiB | 00m00s [ 71/242] Installing pixman-0:0.43.4-1. 100% | 285.9 MiB/s | 585.5 KiB | 00m00s [ 72/242] Installing vim-filesystem-2:9 100% | 0.0 B/s | 4.7 KiB | 00m00s [ 73/242] Installing emacs-filesystem-1 100% | 0.0 B/s | 544.0 B | 00m00s [ 74/242] Installing dbus-common-1:1.14 100% | 645.3 KiB/s | 13.6 KiB | 00m00s >>> Running post-install scriptlet: dbus-common-1:1.14.10-3.fc40.noarch >>> Stop post-install scriptlet: dbus-common-1:1.14.10-3.fc40.noarch >>> Running pre-install scriptlet: dbus-broker-0:36-2.fc41.s390x >>> Stop pre-install scriptlet: dbus-broker-0:36-2.fc41.s390x [ 75/242] Installing dbus-broker-0:36-2 100% | 55.3 MiB/s | 396.3 KiB | 00m00s >>> Running post-install scriptlet: dbus-broker-0:36-2.fc41.s390x >>> Stop post-install scriptlet: dbus-broker-0:36-2.fc41.s390x [ 76/242] Installing dbus-1:1.14.10-3.f 100% | 0.0 B/s | 124.0 B | 00m00s [ 77/242] Installing systemd-pam-0:256~ 100% | 159.9 MiB/s | 1.1 MiB | 00m00s [ 78/242] Installing systemd-0:256~rc4- 100% | 98.3 MiB/s | 15.8 MiB | 00m00s >>> Running post-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop post-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch >>> Stop pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch [ 79/242] Installing xml-common-0:0.6.3 100% | 19.8 MiB/s | 81.1 KiB | 00m00s [ 80/242] Installing kernel-headers-0:6 100% | 169.2 MiB/s | 6.4 MiB | 00m00s [ 81/242] Installing glibc-headers-s390 100% | 159.6 MiB/s | 2.2 MiB | 00m00s [ 82/242] Installing libxcrypt-devel-0: 100% | 31.8 MiB/s | 32.6 KiB | 00m00s [ 83/242] Installing glibc-devel-0:2.39 100% | 453.3 MiB/s | 464.2 KiB | 00m00s [ 84/242] Installing nettle-0:3.9.1-6.f 100% | 207.4 MiB/s | 849.4 KiB | 00m00s [ 85/242] Installing gnutls-0:3.8.5-2.f 100% | 260.9 MiB/s | 3.1 MiB | 00m00s [ 86/242] Installing glib2-0:2.80.2-1.f 100% | 298.7 MiB/s | 14.9 MiB | 00m00s [ 87/242] Installing dconf-0:0.40.0-12. 100% | 26.7 MiB/s | 327.5 KiB | 00m00s >>> Running post-install scriptlet: dconf-0:0.40.0-12.fc40.s390x >>> Stop post-install scriptlet: dconf-0:0.40.0-12.fc40.s390x [ 88/242] Installing libgudev-0:238-5.f 100% | 87.1 MiB/s | 89.2 KiB | 00m00s [ 89/242] Installing cups-libs-1:2.4.8- 100% | 237.1 MiB/s | 728.3 KiB | 00m00s [ 90/242] Installing graphite2-0:1.3.14 100% | 204.6 MiB/s | 209.6 KiB | 00m00s [ 91/242] Installing libusb1-0:1.0.27-2 100% | 171.6 MiB/s | 175.7 KiB | 00m00s [ 92/242] Installing libftdi-0:1.5-12.f 100% | 88.9 MiB/s | 91.1 KiB | 00m00s [ 93/242] Installing mtdev-0:1.1.6-8.fc 100% | 29.6 MiB/s | 30.3 KiB | 00m00s [ 94/242] Installing xkeyboard-config-0 100% | 245.6 MiB/s | 6.6 MiB | 00m00s [ 95/242] Installing libxkbcommon-0:1.7 100% | 176.6 MiB/s | 361.7 KiB | 00m00s [ 96/242] Installing libxkbcommon-x11-0 100% | 7.9 MiB/s | 40.2 KiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.s390x >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.s390x [ 97/242] Installing groff-base-0:1.23. 100% | 149.9 MiB/s | 4.3 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.s390x >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.s390x [ 98/242] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 99/242] Installing perl-B-0:1.88-507. 100% | 169.1 MiB/s | 519.5 KiB | 00m00s [100/242] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [101/242] Installing perl-Digest-MD5-0: 100% | 60.0 MiB/s | 61.4 KiB | 00m00s [102/242] Installing perl-Data-Dumper-0 100% | 114.6 MiB/s | 117.4 KiB | 00m00s [103/242] Installing perl-libnet-0:3.15 100% | 143.7 MiB/s | 294.3 KiB | 00m00s [104/242] Installing perl-AutoLoader-0: 100% | 0.0 B/s | 20.9 KiB | 00m00s [105/242] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.4 KiB | 00m00s [106/242] Installing perl-URI-0:5.28-1. 100% | 61.5 MiB/s | 251.8 KiB | 00m00s [107/242] Installing perl-File-Path-0:2 100% | 0.0 B/s | 64.5 KiB | 00m00s [108/242] Installing perl-Mozilla-CA-0: 100% | 0.0 B/s | 10.5 KiB | 00m00s [109/242] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [110/242] Installing perl-Pod-Escapes-1 100% | 0.0 B/s | 25.9 KiB | 00m00s [111/242] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.8 KiB | 00m00s [112/242] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [113/242] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [114/242] Installing perl-Net-SSLeay-0: 100% | 157.9 MiB/s | 1.4 MiB | 00m00s [115/242] Installing perl-IO-Socket-SSL 100% | 168.2 MiB/s | 689.0 KiB | 00m00s [116/242] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [117/242] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [118/242] Installing perl-Term-Cap-0:1. 100% | 29.8 MiB/s | 30.5 KiB | 00m00s [119/242] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [120/242] Installing perl-IPC-Open3-0:1 100% | 0.0 B/s | 23.3 KiB | 00m00s [121/242] Installing perl-POSIX-0:2.13- 100% | 120.1 MiB/s | 246.1 KiB | 00m00s [122/242] Installing perl-Pod-Simple-1: 100% | 139.0 MiB/s | 569.4 KiB | 00m00s [123/242] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [124/242] Installing perl-Socket-4:2.03 100% | 63.4 MiB/s | 129.8 KiB | 00m00s [125/242] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [126/242] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [127/242] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.2 KiB | 00m00s [128/242] Installing perl-podlators-1:5 100% | 152.4 MiB/s | 312.1 KiB | 00m00s [129/242] Installing perl-Pod-Perldoc-0 100% | 82.3 MiB/s | 168.6 KiB | 00m00s [130/242] Installing perl-Fcntl-0:1.15- 100% | 32.8 MiB/s | 33.5 KiB | 00m00s [131/242] Installing perl-Text-ParseWor 100% | 0.0 B/s | 14.5 KiB | 00m00s [132/242] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [133/242] Installing perl-mro-0:1.28-50 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [134/242] Installing perl-IO-0:1.52-507 100% | 75.7 MiB/s | 155.1 KiB | 00m00s [135/242] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [136/242] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [137/242] Installing perl-Errno-0:1.37- 100% | 0.0 B/s | 8.8 KiB | 00m00s [138/242] Installing perl-Scalar-List-U 100% | 148.9 MiB/s | 152.5 KiB | 00m00s [139/242] Installing perl-constant-0:1. 100% | 0.0 B/s | 27.4 KiB | 00m00s [140/242] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [141/242] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.6 KiB | 00m00s [142/242] Installing perl-MIME-Base64-0 100% | 46.9 MiB/s | 48.1 KiB | 00m00s [143/242] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [144/242] Installing perl-Storable-1:3. 100% | 228.2 MiB/s | 233.7 KiB | 00m00s [145/242] Installing perl-overload-0:1. 100% | 0.0 B/s | 71.9 KiB | 00m00s [146/242] Installing perl-Getopt-Long-1 100% | 143.4 MiB/s | 146.9 KiB | 00m00s [147/242] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [148/242] Installing perl-Carp-0:1.54-5 100% | 46.5 MiB/s | 47.7 KiB | 00m00s [149/242] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [150/242] Installing perl-PathTools-0:3 100% | 89.8 MiB/s | 183.9 KiB | 00m00s [151/242] Installing perl-DynaLoader-0: 100% | 0.0 B/s | 32.5 KiB | 00m00s [152/242] Installing perl-Encode-4:3.21 100% | 282.6 MiB/s | 9.6 MiB | 00m00s [153/242] Installing perl-libs-4:5.38.2 100% | 202.2 MiB/s | 10.3 MiB | 00m00s [154/242] Installing perl-interpreter-4 100% | 118.4 MiB/s | 121.2 KiB | 00m00s [155/242] Installing perl-English-0:1.1 100% | 0.0 B/s | 6.6 KiB | 00m00s [156/242] Installing perl-File-Find-0:1 100% | 0.0 B/s | 42.4 KiB | 00m00s [157/242] Installing libjpeg-turbo-0:3. 100% | 243.9 MiB/s | 749.3 KiB | 00m00s [158/242] Installing vulkan-loader-0:1. 100% | 191.2 MiB/s | 587.4 KiB | 00m00s [159/242] Installing annobin-docs-0:12. 100% | 95.0 MiB/s | 97.3 KiB | 00m00s [160/242] Installing rhash-0:1.4.4-1.fc 100% | 118.1 MiB/s | 362.8 KiB | 00m00s [161/242] Installing libuv-1:1.48.0-1.f 100% | 180.1 MiB/s | 553.1 KiB | 00m00s [162/242] Installing jsoncpp-0:1.9.5-7. 100% | 121.6 MiB/s | 249.1 KiB | 00m00s [163/242] Installing libubsan-0:14.1.1- 100% | 160.2 MiB/s | 492.0 KiB | 00m00s [164/242] Installing libatomic-0:14.1.1 100% | 0.0 B/s | 33.0 KiB | 00m00s [165/242] Installing libasan-0:14.1.1-5 100% | 234.6 MiB/s | 1.6 MiB | 00m00s [166/242] Installing gc-0:8.2.2-6.fc40. 100% | 89.9 MiB/s | 276.3 KiB | 00m00s [167/242] Installing guile30-0:3.0.9-1. 100% | 301.9 MiB/s | 52.8 MiB | 00m00s [168/242] Installing make-1:4.4.1-6.fc4 100% | 232.8 MiB/s | 1.9 MiB | 00m00s [169/242] Installing gcc-0:14.1.1-5.fc4 100% | 279.3 MiB/s | 76.5 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [170/242] Installing libX11-common-0:1. 100% | 79.0 MiB/s | 1.2 MiB | 00m00s [171/242] Installing libX11-0:1.8.9-1.f 100% | 196.9 MiB/s | 1.4 MiB | 00m00s [172/242] Installing libXext-0:1.3.6-1. 100% | 0.0 B/s | 98.9 KiB | 00m00s [173/242] Installing libXxf86vm-0:1.1.5 100% | 12.9 MiB/s | 26.3 KiB | 00m00s [174/242] Installing libX11-devel-0:1.8 100% | 70.0 MiB/s | 1.1 MiB | 00m00s [175/242] Installing libXrender-0:0.9.1 100% | 0.0 B/s | 55.1 KiB | 00m00s [176/242] Installing cairo-0:1.18.0-3.f 100% | 255.2 MiB/s | 1.8 MiB | 00m00s [177/242] Installing harfbuzz-0:8.4.0-1 100% | 241.7 MiB/s | 2.7 MiB | 00m00s [178/242] Installing freetype-0:2.13.2- 100% | 182.9 MiB/s | 936.7 KiB | 00m00s [179/242] Installing fontconfig-0:2.15. 100% | 797.5 KiB/s | 820.7 KiB | 00m01s >>> Running post-install scriptlet: fontconfig-0:2.15.0-6.fc41.s390x >>> Stop post-install scriptlet: fontconfig-0:2.15.0-6.fc41.s390x [180/242] Installing libXfixes-0:6.0.1- 100% | 15.4 MiB/s | 31.5 KiB | 00m00s [181/242] Installing libglvnd-glx-1:1.7 100% | 155.2 MiB/s | 794.8 KiB | 00m00s [182/242] Installing mesa-libGL-0:24.1. 100% | 145.3 MiB/s | 595.0 KiB | 00m00s [183/242] Installing glx-utils-0:9.0.0- 100% | 148.3 MiB/s | 455.6 KiB | 00m00s [184/242] Installing libglvnd-core-deve 100% | 40.1 MiB/s | 41.1 KiB | 00m00s [185/242] Installing duktape-0:2.7.0-7. 100% | 180.2 MiB/s | 738.0 KiB | 00m00s [186/242] Installing libproxy-0:0.5.5-1 100% | 118.2 MiB/s | 121.0 KiB | 00m00s [187/242] Installing libwayland-client- 100% | 73.3 MiB/s | 75.0 KiB | 00m00s [188/242] Installing libglvnd-egl-1:1.7 100% | 76.4 MiB/s | 78.2 KiB | 00m00s [189/242] Installing mesa-libEGL-0:24.1 100% | 126.5 MiB/s | 388.7 KiB | 00m00s [190/242] Installing libglvnd-gles-1:1. 100% | 128.1 MiB/s | 131.1 KiB | 00m00s [191/242] Installing libglvnd-devel-1:1 100% | 302.9 MiB/s | 2.1 MiB | 00m00s [192/242] Installing mesa-libEGL-devel- 100% | 5.4 MiB/s | 22.1 KiB | 00m00s [193/242] Installing tzdata-0:2024a-8.f 100% | 53.9 MiB/s | 1.9 MiB | 00m00s [194/242] Installing python-pip-wheel-0 100% | 509.3 MiB/s | 1.5 MiB | 00m00s [195/242] Installing mpdecimal-0:2.5.1- 100% | 224.3 MiB/s | 229.7 KiB | 00m00s [196/242] Installing libb2-0:0.98.1-11. 100% | 10.5 MiB/s | 43.1 KiB | 00m00s [197/242] Installing python3-libs-0:3.1 100% | 241.1 MiB/s | 42.2 MiB | 00m00s [198/242] Installing python3-0:3.12.3-2 100% | 0.0 B/s | 33.0 KiB | 00m00s [199/242] Installing boost-python3-0:1. 100% | 125.6 MiB/s | 257.2 KiB | 00m00s [200/242] Installing cmake-rpm-macros-0 100% | 1.6 MiB/s | 8.1 KiB | 00m00s [201/242] Installing cmake-data-0:3.28. 100% | 107.4 MiB/s | 8.5 MiB | 00m00s [202/242] Installing cmake-0:3.28.3-5.f 100% | 283.1 MiB/s | 31.1 MiB | 00m00s [203/242] Installing python3-numpy-1:1. 100% | 298.0 MiB/s | 42.3 MiB | 00m00s [204/242] Installing boost-numpy3-0:1.8 100% | 56.8 MiB/s | 58.2 KiB | 00m00s [205/242] Installing vulkan-headers-0:1 100% | 542.9 MiB/s | 26.1 MiB | 00m00s [206/242] Installing vulkan-loader-deve 100% | 0.0 B/s | 8.9 KiB | 00m00s [207/242] Installing python3-packaging- 100% | 212.7 MiB/s | 435.6 KiB | 00m00s [208/242] Installing python3-rpm-genera 100% | 0.0 B/s | 82.9 KiB | 00m00s [209/242] Installing libwacom-0:2.12.0- 100% | 102.1 MiB/s | 104.5 KiB | 00m00s [210/242] Installing libinput-0:1.26.0- 100% | 63.2 MiB/s | 582.1 KiB | 00m00s >>> Running post-install scriptlet: libinput-0:1.26.0-1.fc41.s390x >>> Stop post-install scriptlet: libinput-0:1.26.0-1.fc41.s390x [211/242] Installing qt-settings-0:40.0 100% | 0.0 B/s | 1.7 KiB | 00m00s [212/242] Installing pcre2-utf16-0:10.4 100% | 203.9 MiB/s | 626.4 KiB | 00m00s [213/242] Installing double-conversion- 100% | 99.6 MiB/s | 102.0 KiB | 00m00s [214/242] Installing qt5-qtbase-common- 100% | 86.9 KiB/s | 356.0 B | 00m00s >>> Running pre-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.s390x >>> Stop pre-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.s390x [215/242] Installing qt5-qtbase-0:5.15. 100% | 205.4 MiB/s | 10.9 MiB | 00m00s >>> Running post-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.s390x >>> Stop post-install scriptlet: qt5-qtbase-0:5.15.14-1.fc41.s390x [216/242] Installing qt5-qtbase-gui-0:5 100% | 264.1 MiB/s | 21.7 MiB | 00m00s [217/242] Installing qt5-qtdeclarative- 100% | 285.4 MiB/s | 15.4 MiB | 00m00s [218/242] Installing qt5-qtconfiguratio 100% | 193.6 MiB/s | 198.3 KiB | 00m00s [219/242] Installing boost-test-0:1.83. 100% | 220.5 MiB/s | 677.3 KiB | 00m00s [220/242] Installing boost-stacktrace-0 100% | 63.6 MiB/s | 65.2 KiB | 00m00s [221/242] Installing boost-serializatio 100% | 232.5 MiB/s | 476.3 KiB | 00m00s [222/242] Installing boost-random-0:1.8 100% | 0.0 B/s | 34.4 KiB | 00m00s [223/242] Installing boost-nowide-0:1.8 100% | 0.0 B/s | 25.7 KiB | 00m00s [224/242] Installing boost-math-0:1.83. 100% | 233.3 MiB/s | 716.6 KiB | 00m00s [225/242] Installing boost-contract-0:1 100% | 52.5 MiB/s | 107.4 KiB | 00m00s [226/242] Installing libstdc++-devel-0: 100% | 311.9 MiB/s | 15.3 MiB | 00m00s [227/242] Installing gcc-c++-0:14.1.1-5 100% | 276.2 MiB/s | 28.7 MiB | 00m00s [228/242] Installing qt5-rpm-macros-0:5 100% | 598.6 KiB/s | 2.4 KiB | 00m00s [229/242] Installing qt5-qtbase-devel-0 100% | 200.5 MiB/s | 20.0 MiB | 00m00s [230/242] Installing boost-iostreams-0: 100% | 92.7 MiB/s | 95.0 KiB | 00m00s [231/242] Installing boost-0:1.83.0-7.f 100% | 63.7 KiB/s | 1.7 KiB | 00m00s [232/242] Installing boost-devel-0:1.83 100% | 213.7 MiB/s | 145.8 MiB | 00m01s [233/242] Installing qt5-qtconfiguratio 100% | 11.0 MiB/s | 22.6 KiB | 00m00s [234/242] Installing python3-devel-0:3. 100% | 98.2 MiB/s | 1.3 MiB | 00m00s [235/242] Installing icestorm-0:0-0.33. 100% | 324.3 MiB/s | 109.9 MiB | 00m00s [236/242] Installing python3-setuptools 100% | 203.2 MiB/s | 7.3 MiB | 00m00s [237/242] Installing trellis-devel-0:1. 100% | 287.5 MiB/s | 4.3 MiB | 00m00s [238/242] Installing add-determinism-0: 100% | 252.5 MiB/s | 3.3 MiB | 00m00s [239/242] Installing gcc-plugin-annobin 100% | 4.1 MiB/s | 58.4 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [240/242] Installing annobin-plugin-gcc 100% | 59.6 MiB/s | 975.8 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:292-1.fc41.noarch [241/242] Installing eigen3-devel-0:3.4 100% | 222.9 MiB/s | 8.5 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.execute() instead [242/242] Installing systemd-rpm-macros 100% | 30.3 KiB/s | 9.9 KiB | 00m00s >>> Running post-transaction scriptlet: dconf-0:0.40.0-12.fc40.s390x >>> Stop post-transaction scriptlet: dconf-0:0.40.0-12.fc40.s390x >>> Running post-transaction scriptlet: fontconfig-0:2.15.0-6.fc41.s390x >>> Stop post-transaction scriptlet: fontconfig-0:2.15.0-6.fc41.s390x >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.s390x >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.s390x >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.s390x >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.s390x >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Stop trigger-install scriptlet: systemd-0:256~rc4-2.fc41.s390x >>> Running trigger-install scriptlet: glib2-0:2.80.2-1.fc41.s390x >>> Stop trigger-install scriptlet: glib2-0:2.80.2-1.fc41.s390x >>> Running trigger-install scriptlet: fontconfig-0:2.15.0-6.fc41.s390x >>> Stop trigger-install scriptlet: fontconfig-0:2.15.0-6.fc41.s390x Warning: skipped PGP checks for 240 packages from repositories: copr_base, http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: s390x Building for target s390x setting SOURCE_DATE_EPOCH=1717804800 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.tr4p26 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + /usr/bin/rm -rf /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.GYi9iF + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd /builddir/build/BUILD/nextpnr-1-build + rm -rf nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/nextpnr-b7f91e5.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.DxMrDV + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -S . -B redhat-linux-build -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON -DARCH=all -DICEBOX_DATADIR=/usr/share/icestorm -DTRELLIS_LIBDIR=/usr/lib64/trellis -DBUILD_GUI=ON -DUSE_OPENMP=ON -- The CXX compiler identification is GNU 14.1.1 -- The C compiler identification is GNU 14.1.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found OpenGL: /usr/lib64/libOpenGL.so -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb -- Configuring done (2.6s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build + /usr/bin/cmake --build redhat-linux-build -j2 --verbose Change Dir: '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j2 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 -B/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target gui_generic_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" [ 0%] Built target gui_ice40_autogen_timestamp_deps /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/g++ -Dbbasm_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba/main.cc [ 1%] Generating chipdb/chipdb-384.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 2%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 2%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Built target bbasm /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Built target gui_ecp5_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/generic/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/generic/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target gui_generic_autogen /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json "" AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target QtPropertyBrowser_autogen /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Built target gui_ice40_autogen /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Automatic MOC for target gui_ecp5 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 5%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ecp5/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Built target gui_ecp5_autogen /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc'. [ 5%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/generic/gui_generic_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_generic gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o -MF CMakeFiles/gui_generic.dir/application.cc.o.d -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o -MF CMakeFiles/gui_generic.dir/basewindow.cc.o.d -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o -MF CMakeFiles/gui_generic.dir/designwidget.cc.o.d -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o -MF CMakeFiles/gui_generic.dir/line_editor.cc.o.d -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o -MF CMakeFiles/gui_generic.dir/lineshader.cc.o.d -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 7%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o -MF CMakeFiles/gui_generic.dir/pyconsole.cc.o.d -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o -MF CMakeFiles/gui_generic.dir/pythontab.cc.o.d -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o -MF CMakeFiles/gui_generic.dir/treemodel.cc.o.d -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o -MF CMakeFiles/gui_generic.dir/worker.cc.o.d -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -MF CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o.d -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.cc [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 12%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp [ 15%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_generic.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 16%] Built target gui_generic /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 16%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/lib64/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" Dependencies file "generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target QtPropertyBrowser gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 18%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 18%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 18%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 20%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 20%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib" libQtPropertyBrowser.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Built target QtPropertyBrowser /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/nextpnr.qrc [ 20%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ice40/gui_ice40_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 24%] Built target chipdb-ice40-bbas [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 25%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/nextpnr.qrc [ 25%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ecp5/gui_ecp5_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ecp5 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 28%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o -MF CMakeFiles/gui_ecp5.dir/application.cc.o.d -o CMakeFiles/gui_ecp5.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp [ 29%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ice40.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 30%] Built target gui_ice40 [ 31%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o -MF CMakeFiles/gui_ecp5.dir/basewindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 31%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/designwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 31%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 31%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o -MF CMakeFiles/gui_ecp5.dir/line_editor.cc.o.d -o CMakeFiles/gui_ecp5.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o -MF CMakeFiles/gui_ecp5.dir/lineshader.cc.o.d -o CMakeFiles/gui_ecp5.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -MF CMakeFiles/gui_ecp5.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o -MF CMakeFiles/gui_ecp5.dir/pythontab.cc.o.d -o CMakeFiles/gui_ecp5.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o -MF CMakeFiles/gui_ecp5.dir/treemodel.cc.o.d -o CMakeFiles/gui_ecp5.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o -MF CMakeFiles/gui_ecp5.dir/worker.cc.o.d -o CMakeFiles/gui_ecp5.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.cc [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 37%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 37%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 37%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 39%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp [ 39%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp [ 39%] Linking CXX static library libgui_ecp5.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -P CMakeFiles/gui_ecp5.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ecp5.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ecp5.a CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ecp5.dir/application.cc.o CMakeFiles/gui_ecp5.dir/basewindow.cc.o CMakeFiles/gui_ecp5.dir/designwidget.cc.o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ecp5.dir/line_editor.cc.o CMakeFiles/gui_ecp5.dir/lineshader.cc.o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o CMakeFiles/gui_ecp5.dir/pythontab.cc.o CMakeFiles/gui_ecp5.dir/treemodel.cc.o CMakeFiles/gui_ecp5.dir/worker.cc.o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ecp5.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 40%] Built target gui_ecp5 /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 47%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch_pybindings.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/cells.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/main.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/pack.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_api.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_helpers.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/example/example.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/okami/okami.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 56%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fabulous.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fasm.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/pack.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/validity_check.cc [ 58%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 58%] Built target nextpnr-generic /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 59%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 59%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Built target chipdb-ecp5-bbas [ 60%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 60%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 60%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 61%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 61%] Built target chipdb-ice40-bins [ 61%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.cc [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 61%] Built target chipdb-ecp5-bins [ 62%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.cc [ 62%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.cc /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 62%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.cc [ 62%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.cc [ 63%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.cc [ 63%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 65%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 66%] Built target chipdb-ecp5 [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_place.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_pybindings.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_place.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_pybindings.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/bitstream.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/cells.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chains.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/baseconfigs.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/delay.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/bitstream.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/main.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pack.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/cells.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/config.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pcf.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.cc [ 98%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pack.cc [100%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pio.cc [100%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ecp5 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles 0 + mkdir -p examples/ice40 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.mAUPHd + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + '[' /builddir/build/BUILD/nextpnr-1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/nextpnr-1-build/BUILDROOT ++ dirname /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/nextpnr-1-build + mkdir /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DESTDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT + /usr/bin/cmake --install redhat-linux-build -- Install configuration: "Release" -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ecp5 + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 1-41.20240524gitb7f91e5.fc41 --unique-debug-suffix -1-41.20240524gitb7f91e5.fc41.s390x --unique-debug-src-base nextpnr-1-41.20240524gitb7f91e5.fc41.s390x --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 find-debuginfo: starting Extracting debug info from 3 files DWARF-compressing 3 files sepdebugcrcfix: Updated 3 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-1-41.20240524gitb7f91e5.fc41.s390x 1544187 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j2 /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Cannot initialize handler pyc: ModuleNotFoundError: No module named 'marshalparser' [src/multiprocess.rs:66:9] &cmd = Command { program: "/usr/bin/add-determinism", args: [ "/usr/bin/add-determinism", "--socket", "3", "--brp", "--handler", "ar,jar,javadoc", ], env: CommandEnv { clear: false, vars: { "SOURCE_DATE_EPOCH": Some( "1717804800", ), }, }, create_pidfd: false, } Bye! Bye! Reading /builddir/build/BUILD/nextpnr-1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: nextpnr-1-41.20240524gitb7f91e5.fc41.s390x Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.6dg0Hw + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DOCDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/README.md /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/docs /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/examples /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.RjFTSx + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + LICENSEDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/COPYING /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-imgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-qtimgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-python-console.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 1-41.20240524gitb7f91e5.fc41 nextpnr(s390-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libboost_filesystem.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.2)(64bit) libc.so.6(GLIBC_2.3.2)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.8)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgomp.so.1()(64bit) libgomp.so.1(GOMP_1.0)(64bit) libgomp.so.1(GOMP_4.0)(64bit) libgomp.so.1(GOMP_4.5)(64bit) libgomp.so.1(OMP_1.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.38)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.s390x Provides: nextpnr-debugsource = 1-41.20240524gitb7f91e5.fc41 nextpnr-debugsource(s390-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.s390x Provides: debuginfo(build-id) = 7ce447df1f522fd846c155346161e459d813a01f debuginfo(build-id) = dcac72248e92064ddb67207a6b0e5bd71c11b7d4 debuginfo(build-id) = e7c0ee56a859dff974cf35284b99125fd1c24694 nextpnr-debuginfo = 1-41.20240524gitb7f91e5.fc41 nextpnr-debuginfo(s390-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(s390-64) = 1-41.20240524gitb7f91e5.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Wrote: /builddir/build/RPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.s390x.rpm Wrote: /builddir/build/RPMS/nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.s390x.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.s390x.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.enR28C + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + rm -rf /builddir/build/BUILD/nextpnr-1-build + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-s390x-1717909719.367634/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(child) 30 minutes 19 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "s390x" }, { "name": "nextpnr-debuginfo", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "s390x" }, { "name": "nextpnr-debugsource", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "s390x" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "src" } ] } RPMResults finished