Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c24e' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7602759-epel-8-ppc64le --chroot epel-8-ppc64le Version: 0.73 PID: 9378 Logging PID: 9379 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 7602759, 'buildroot_pkgs': [], 'chroot': 'epel-8-ppc64le', 'enable_net': True, 'fedora_review': False, 'git_hash': '6d211286931c296b08ec0c1fce79ad8ba8f9c9fb', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'cocotb', 'package_version': '1.8.1-20240611.0.git4a4f9144', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/epel-8-ppc64le/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/epel-8-ppc64le/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/epel-8-ppc64le/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'rezso', 'tags': [], 'task_id': '7602759-epel-8-ppc64le', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb', '/var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb'... Running: git checkout 6d211286931c296b08ec0c1fce79ad8ba8f9c9fb -- cmd: ['git', 'checkout', '6d211286931c296b08ec0c1fce79ad8ba8f9c9fb', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb rc: 0 stdout: stderr: Note: switching to '6d211286931c296b08ec0c1fce79ad8ba8f9c9fb'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 6d21128 automatic import of cocotb Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1718153942.733572 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1718153942.733572 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb/cocotb.spec) Config(rhel+epel-8-ppc64le) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/rhel+epel-8-ppc64le-bootstrap-1718153942.733572/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.access.redhat.com/ubi8/ubi INFO: Pulling image: registry.access.redhat.com/ubi8/ubi INFO: Copy content of container registry.access.redhat.com/ubi8/ubi to /var/lib/mock/rhel+epel-8-ppc64le-bootstrap-1718153942.733572/root INFO: Checking that registry.access.redhat.com/ubi8/ubi image matches host's architecture INFO: mounting registry.access.redhat.com/ubi8/ubi with podman image mount INFO: image registry.access.redhat.com/ubi8/ubi as /var/lib/containers/storage/overlay/bebef8b06a5816acf2f76dbeab5b39b3d16c4d41ac1153e799d9e9776a9a734e/merged INFO: umounting image registry.access.redhat.com/ubi8/ubi (/var/lib/containers/storage/overlay/bebef8b06a5816acf2f76dbeab5b39b3d16c4d41ac1153e799d9e9776a9a734e/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.14.3-31.el8.ppc64le python3-dnf-4.7.0-20.el8.noarch python3-dnf-plugins-core-4.0.21-25.el8.noarch yum-4.7.0-20.el8.noarch Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 1.4 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_ML 1.1 MB/s | 624 kB 00:00 Additional repo copr_rezso_CUDA 176 kB/s | 69 kB 00:00 Additional repo http_developer_download_nvidia_ 22 MB/s | 3.5 MB 00:00 Additional repo http_developer_download_nvidia_ 17 MB/s | 2.1 MB 00:00 Additional repo http_developer_download_nvidia_ 15 MB/s | 1.8 MB 00:00 Red Hat Enterprise Linux - BaseOS 31 MB/s | 59 MB 00:01 Red Hat Enterprise Linux - AppStream 28 MB/s | 55 MB 00:01 Red Hat Enterprise Linux - CodeReady Linux Buil 4.4 MB/s | 7.4 MB 00:01 Extra Packages for Enterprise Linux 8 - ppc64le 12 MB/s | 13 MB 00:01 Modular dependency problems: Problem 1: nothing provides requested module(nvidia-driver:latest-dkms:20240611080226) Problem 2: nothing provides requested module(nvidia-driver:latest-dkms:20240606121350) Dependencies resolved. ============================================================================================ Package Arch Version Repository Size ============================================================================================ Installing: bash ppc64le 4.4.20-5.el8 rhel-baseos 1.6 M bzip2 ppc64le 1.0.6-26.el8 rhel-baseos 61 k coreutils ppc64le 8.30-15.el8 rhel-baseos 1.3 M cpio ppc64le 2.12-11.el8 rhel-baseos 270 k diffutils ppc64le 3.6-6.el8 rhel-baseos 367 k epel-rpm-macros noarch 8-41 epel 27 k findutils ppc64le 1:4.6.0-22.el8 rhel-baseos 542 k gawk ppc64le 4.2.1-4.el8 rhel-baseos 1.1 M gcc ppc64le 8.5.0-22.el8_10 rhel-appstream 21 M gcc-c++ ppc64le 8.5.0-22.el8_10 rhel-appstream 13 M grep ppc64le 3.1-6.el8 rhel-baseos 283 k gzip ppc64le 1.9-13.el8_5 rhel-baseos 170 k info ppc64le 6.5-7.el8 rhel-baseos 216 k make ppc64le 1:4.2.1-11.el8 rhel-baseos 504 k patch ppc64le 2.7.6-11.el8 rhel-baseos 146 k redhat-release ppc64le 8.10-0.2.el8 rhel-baseos 45 k redhat-rpm-config noarch 131-1.el8 rhel-appstream 91 k rpm-build ppc64le 4.14.3-31.el8 rhel-appstream 177 k sed ppc64le 4.5-5.el8 rhel-baseos 303 k tar ppc64le 2:1.30-9.el8 rhel-baseos 858 k unzip ppc64le 6.0-46.el8 rhel-baseos 198 k util-linux ppc64le 2.32.1-46.el8 rhel-baseos 2.6 M which ppc64le 2.21-20.el8 rhel-baseos 51 k xz ppc64le 5.2.4-4.el8_6 rhel-baseos 158 k Installing dependencies: annobin ppc64le 11.13-2.el8 rhel-appstream 974 k ansible-srpm-macros noarch 1-12.el8 epel 21 k audit-libs ppc64le 3.1.2-1.el8 rhel-baseos 137 k basesystem noarch 11-5.el8 rhel-baseos 11 k binutils ppc64le 2.30-123.el8 rhel-baseos 6.5 M brotli ppc64le 1.0.6-3.el8 rhel-baseos 329 k bzip2-libs ppc64le 1.0.6-26.el8 rhel-baseos 53 k ca-certificates noarch 2023.2.60_v7.0.306-80.0.el8_8 rhel-baseos 935 k chkconfig ppc64le 1.19.2-1.el8 rhel-baseos 204 k coreutils-common ppc64le 8.30-15.el8 rhel-baseos 2.0 M cpp ppc64le 8.5.0-22.el8_10 rhel-appstream 11 M cracklib ppc64le 2.9.6-15.el8 rhel-baseos 95 k cracklib-dicts ppc64le 2.9.6-15.el8 rhel-baseos 4.0 M crypto-policies noarch 20230731-1.git3177e06.el8 rhel-baseos 64 k curl ppc64le 7.61.1-34.el8 rhel-baseos 358 k cyrus-sasl-lib ppc64le 2.1.27-6.el8_5 rhel-baseos 135 k dwz ppc64le 0.12-10.el8 rhel-appstream 114 k efi-srpm-macros noarch 3-3.el8 rhel-appstream 22 k elfutils ppc64le 0.190-2.el8 rhel-baseos 589 k elfutils-default-yama-scope noarch 0.190-2.el8 rhel-baseos 53 k elfutils-libelf ppc64le 0.190-2.el8 rhel-baseos 239 k elfutils-libs ppc64le 0.190-2.el8 rhel-baseos 334 k expat ppc64le 2.2.5-13.el8_10 rhel-baseos 115 k file ppc64le 5.33-26.el8 rhel-baseos 78 k file-libs ppc64le 5.33-26.el8 rhel-baseos 552 k filesystem ppc64le 3.8-6.el8 rhel-baseos 1.1 M fpc-srpm-macros noarch 1.3-1.el8 epel 8.2 k gc ppc64le 7.6.4-3.el8 rhel-appstream 115 k gcc-plugin-annobin ppc64le 8.5.0-22.el8_10 rhel-appstream 38 k gdb-headless ppc64le 8.2-20.el8 rhel-appstream 3.5 M gdbm ppc64le 1:1.18-2.el8 rhel-baseos 136 k gdbm-libs ppc64le 1:1.18-2.el8 rhel-baseos 64 k ghc-srpm-macros noarch 1.4.2-7.el8 rhel-appstream 9.4 k glib2 ppc64le 2.56.4-162.el8 rhel-baseos 2.6 M glibc ppc64le 2.28-251.el8_10.2 rhel-baseos 3.4 M glibc-all-langpacks ppc64le 2.28-251.el8_10.2 rhel-baseos 26 M glibc-common ppc64le 2.28-251.el8_10.2 rhel-baseos 1.0 M glibc-devel ppc64le 2.28-251.el8_10.2 rhel-baseos 104 k glibc-gconv-extra ppc64le 2.28-251.el8_10.2 rhel-baseos 1.8 M glibc-headers ppc64le 2.28-251.el8_10.2 rhel-baseos 491 k gmp ppc64le 1:6.1.2-11.el8 rhel-baseos 289 k gnupg2 ppc64le 2.2.20-3.el8_6 rhel-baseos 2.7 M gnutls ppc64le 3.6.16-8.el8_9.3 rhel-baseos 992 k go-srpm-macros noarch 2-17.el8 rhel-appstream 13 k guile ppc64le 5:2.0.14-7.el8 rhel-appstream 3.5 M ima-evm-utils ppc64le 1.3.2-12.el8 rhel-baseos 68 k isl ppc64le 0.16.1-6.el8 rhel-appstream 1.2 M kernel-headers ppc64le 4.18.0-553.5.1.el8_10 rhel-baseos 12 M keyutils-libs ppc64le 1.5.10-9.el8 rhel-baseos 35 k krb5-libs ppc64le 1.18.2-27.el8_10 rhel-baseos 909 k libacl ppc64le 2.2.53-3.el8 rhel-baseos 37 k libarchive ppc64le 3.3.3-5.el8 rhel-baseos 412 k libasan ppc64le 8.5.0-22.el8_10 rhel-baseos 439 k libassuan ppc64le 2.5.1-3.el8 rhel-baseos 86 k libatomic ppc64le 8.5.0-22.el8_10 rhel-baseos 26 k libatomic_ops ppc64le 7.6.2-3.el8 rhel-appstream 38 k libattr ppc64le 2.4.48-3.el8 rhel-baseos 28 k libbabeltrace ppc64le 1.5.4-4.el8 rhel-baseos 219 k libblkid ppc64le 2.32.1-46.el8 rhel-baseos 242 k libcap ppc64le 2.48-6.el8_9 rhel-baseos 79 k libcap-ng ppc64le 0.7.11-1.el8 rhel-baseos 35 k libcom_err ppc64le 1.45.6-5.el8 rhel-baseos 50 k libcurl ppc64le 7.61.1-34.el8 rhel-baseos 320 k libdb ppc64le 5.3.28-42.el8_4 rhel-baseos 788 k libdb-utils ppc64le 5.3.28-42.el8_4 rhel-baseos 159 k libfdisk ppc64le 2.32.1-46.el8 rhel-baseos 270 k libffi ppc64le 3.1-24.el8 rhel-baseos 39 k libgcc ppc64le 8.5.0-22.el8_10 rhel-baseos 70 k libgcrypt ppc64le 1.8.5-7.el8_6 rhel-baseos 521 k libgomp ppc64le 8.5.0-22.el8_10 rhel-baseos 213 k libgpg-error ppc64le 1.31-1.el8 rhel-baseos 250 k libidn2 ppc64le 2.2.0-1.el8 rhel-baseos 96 k libksba ppc64le 1.3.5-9.el8_7 rhel-baseos 147 k libmount ppc64le 2.32.1-46.el8 rhel-baseos 260 k libmpc ppc64le 1.1.0-9.1.el8 rhel-appstream 65 k libnghttp2 ppc64le 1.33.0-5.el8_9 rhel-baseos 85 k libnsl2 ppc64le 1.2.0-2.20180605git4a062cf.el8 rhel-baseos 63 k libpkgconf ppc64le 1.4.2-1.el8 rhel-baseos 38 k libpsl ppc64le 0.20.2-6.el8 rhel-baseos 63 k libpwquality ppc64le 1.4.4-6.el8 rhel-baseos 109 k librtas ppc64le 2.0.2-1.el8 rhel-baseos 69 k libselinux ppc64le 2.9-8.el8 rhel-baseos 178 k libsemanage ppc64le 2.9-9.el8_6 rhel-baseos 179 k libsepol ppc64le 2.9-3.el8 rhel-baseos 368 k libsigsegv ppc64le 2.11-5.el8 rhel-baseos 31 k libsmartcols ppc64le 2.32.1-46.el8 rhel-baseos 192 k libssh ppc64le 0.9.6-14.el8 rhel-baseos 241 k libssh-config noarch 0.9.6-14.el8 rhel-baseos 21 k libstdc++ ppc64le 8.5.0-22.el8_10 rhel-baseos 498 k libstdc++-devel ppc64le 8.5.0-22.el8_10 rhel-appstream 2.1 M libtasn1 ppc64le 4.13-4.el8_7 rhel-baseos 82 k libtirpc ppc64le 1.1.4-10.el8 rhel-baseos 127 k libtool-ltdl ppc64le 2.4.6-25.el8 rhel-baseos 62 k libubsan ppc64le 8.5.0-22.el8_10 rhel-baseos 166 k libunistring ppc64le 0.9.9-3.el8 rhel-baseos 422 k libusbx ppc64le 1.0.23-4.el8 rhel-baseos 78 k libutempter ppc64le 1.1.6-14.el8 rhel-baseos 32 k libuuid ppc64le 2.32.1-46.el8 rhel-baseos 101 k libverto ppc64le 0.3.2-2.el8 rhel-baseos 25 k libxcrypt ppc64le 4.1.1-6.el8 rhel-baseos 77 k libxcrypt-devel ppc64le 4.1.1-6.el8 rhel-baseos 25 k libxml2 ppc64le 2.9.7-18.el8_10.1 rhel-baseos 754 k libzstd ppc64le 1.4.4-1.el8 rhel-baseos 276 k lua-libs ppc64le 5.3.4-12.el8 rhel-baseos 129 k lua-srpm-macros noarch 1-13.el8 epel 9.2 k lz4-libs ppc64le 1.8.3-3.el8_4 rhel-baseos 74 k mpfr ppc64le 3.1.6-1.el8 rhel-baseos 234 k ncurses ppc64le 6.1-10.20180224.el8 rhel-baseos 393 k ncurses-base noarch 6.1-10.20180224.el8 rhel-baseos 81 k ncurses-libs ppc64le 6.1-10.20180224.el8 rhel-baseos 361 k nettle ppc64le 3.4.1-7.el8 rhel-baseos 328 k npth ppc64le 1.5-4.el8 rhel-baseos 26 k ocaml-srpm-macros noarch 5-4.el8 rhel-appstream 9.5 k openblas-srpm-macros noarch 2-2.el8 rhel-appstream 8.0 k openldap ppc64le 2.4.46-18.el8 rhel-baseos 380 k openssl-libs ppc64le 1:1.1.1k-12.el8_9 rhel-baseos 1.5 M p11-kit ppc64le 0.23.22-2.el8 rhel-baseos 327 k p11-kit-trust ppc64le 0.23.22-2.el8 rhel-baseos 149 k pam ppc64le 1.3.1-33.el8 rhel-baseos 792 k pcre ppc64le 8.42-6.el8 rhel-baseos 206 k pcre2 ppc64le 10.32-3.el8_6 rhel-baseos 238 k perl-srpm-macros noarch 1-25.el8 rhel-appstream 11 k pkgconf ppc64le 1.4.2-1.el8 rhel-baseos 39 k pkgconf-m4 noarch 1.4.2-1.el8 rhel-baseos 17 k pkgconf-pkg-config ppc64le 1.4.2-1.el8 rhel-baseos 15 k platform-python ppc64le 3.6.8-62.el8_10 rhel-baseos 88 k platform-python-setuptools noarch 39.2.0-7.el8 rhel-baseos 632 k popt ppc64le 1.18-1.el8 rhel-baseos 65 k publicsuffix-list-dafsa noarch 20180723-1.el8 rhel-baseos 56 k python-rpm-macros noarch 3-45.el8 rhel-appstream 16 k python-srpm-macros noarch 3-45.el8 rhel-appstream 16 k python3-libs ppc64le 3.6.8-62.el8_10 rhel-baseos 8.1 M python3-pip-wheel noarch 9.0.3-24.el8 rhel-baseos 866 k python3-rpm-macros noarch 3-45.el8 rhel-appstream 15 k python3-setuptools-wheel noarch 39.2.0-7.el8 rhel-baseos 289 k qt5-srpm-macros noarch 5.15.3-1.el8 rhel-appstream 11 k readline ppc64le 7.0-10.el8 rhel-baseos 210 k rpm ppc64le 4.14.3-31.el8 rhel-baseos 545 k rpm-build-libs ppc64le 4.14.3-31.el8 rhel-baseos 166 k rpm-libs ppc64le 4.14.3-31.el8 rhel-baseos 381 k rust-srpm-macros noarch 5-2.el8 rhel-appstream 9.3 k setup noarch 2.12.2-9.el8 rhel-baseos 181 k shadow-utils ppc64le 2:4.6-22.el8 rhel-baseos 1.2 M sqlite-libs ppc64le 3.26.0-19.el8_9 rhel-baseos 626 k systemd-libs ppc64le 239-82.el8 rhel-baseos 1.1 M tpm2-tss ppc64le 2.3.2-6.el8 rhel-baseos 226 k tzdata noarch 2024a-1.el8 rhel-baseos 475 k xz-libs ppc64le 5.2.4-4.el8_6 rhel-baseos 112 k zip ppc64le 3.0-23.el8 rhel-baseos 275 k zlib ppc64le 1.2.11-25.el8 rhel-baseos 113 k zstd ppc64le 1.4.4-1.el8 rhel-appstream 346 k Transaction Summary ============================================================================================ Install 175 Packages Total download size: 166 M Installed size: 872 M Downloading Packages: (1/175): bzip2-libs-1.0.6-26.el8.ppc64le.rpm 173 kB/s | 53 kB 00:00 (2/175): cracklib-2.9.6-15.el8.ppc64le.rpm 308 kB/s | 95 kB 00:00 (3/175): bzip2-1.0.6-26.el8.ppc64le.rpm 161 kB/s | 61 kB 00:00 (4/175): libassuan-2.5.1-3.el8.ppc64le.rpm 620 kB/s | 86 kB 00:00 (5/175): grep-3.1-6.el8.ppc64le.rpm 1.2 MB/s | 283 kB 00:00 (6/175): cracklib-dicts-2.9.6-15.el8.ppc64le.rp 12 MB/s | 4.0 MB 00:00 (7/175): libattr-2.4.48-3.el8.ppc64le.rpm 204 kB/s | 28 kB 00:00 (8/175): libgpg-error-1.31-1.el8.ppc64le.rpm 1.9 MB/s | 250 kB 00:00 (9/175): libnsl2-1.2.0-2.20180605git4a062cf.el8 637 kB/s | 63 kB 00:00 (10/175): librtas-2.0.2-1.el8.ppc64le.rpm 347 kB/s | 69 kB 00:00 (11/175): libsigsegv-2.11-5.el8.ppc64le.rpm 156 kB/s | 31 kB 00:00 (12/175): libpkgconf-1.4.2-1.el8.ppc64le.rpm 133 kB/s | 38 kB 00:00 (13/175): libtool-ltdl-2.4.6-25.el8.ppc64le.rpm 328 kB/s | 62 kB 00:00 (14/175): libutempter-1.1.6-14.el8.ppc64le.rpm 286 kB/s | 32 kB 00:00 (15/175): libunistring-0.9.9-3.el8.ppc64le.rpm 1.7 MB/s | 422 kB 00:00 (16/175): npth-1.5-4.el8.ppc64le.rpm 192 kB/s | 26 kB 00:00 (17/175): mpfr-3.1.6-1.el8.ppc64le.rpm 1.2 MB/s | 234 kB 00:00 (18/175): pkgconf-1.4.2-1.el8.ppc64le.rpm 326 kB/s | 39 kB 00:00 (19/175): pkgconf-pkg-config-1.4.2-1.el8.ppc64l 127 kB/s | 15 kB 00:00 (20/175): readline-7.0-10.el8.ppc64le.rpm 1.1 MB/s | 210 kB 00:00 (21/175): basesystem-11-5.el8.noarch.rpm 53 kB/s | 11 kB 00:00 (22/175): zip-3.0-23.el8.ppc64le.rpm 1.3 MB/s | 275 kB 00:00 (23/175): pkgconf-m4-1.4.2-1.el8.noarch.rpm 89 kB/s | 17 kB 00:00 (24/175): publicsuffix-list-dafsa-20180723-1.el 470 kB/s | 56 kB 00:00 (25/175): libidn2-2.2.0-1.el8.ppc64le.rpm 491 kB/s | 96 kB 00:00 (26/175): diffutils-3.6-6.el8.ppc64le.rpm 2.4 MB/s | 367 kB 00:00 (27/175): patch-2.7.6-11.el8.ppc64le.rpm 727 kB/s | 146 kB 00:00 (28/175): libpsl-0.20.2-6.el8.ppc64le.rpm 487 kB/s | 63 kB 00:00 (29/175): libusbx-1.0.23-4.el8.ppc64le.rpm 558 kB/s | 78 kB 00:00 (30/175): brotli-1.0.6-3.el8.ppc64le.rpm 1.9 MB/s | 329 kB 00:00 (31/175): libzstd-1.4.4-1.el8.ppc64le.rpm 1.3 MB/s | 276 kB 00:00 (32/175): ima-evm-utils-1.3.2-12.el8.ppc64le.rp 370 kB/s | 68 kB 00:00 (33/175): popt-1.18-1.el8.ppc64le.rpm 485 kB/s | 65 kB 00:00 (34/175): libdb-5.3.28-42.el8_4.ppc64le.rpm 5.0 MB/s | 788 kB 00:00 (35/175): libdb-utils-5.3.28-42.el8_4.ppc64le.r 1.4 MB/s | 159 kB 00:00 (36/175): libxcrypt-devel-4.1.1-6.el8.ppc64le.r 102 kB/s | 25 kB 00:00 (37/175): lz4-libs-1.8.3-3.el8_4.ppc64le.rpm 382 kB/s | 74 kB 00:00 (38/175): lua-libs-5.3.4-12.el8.ppc64le.rpm 606 kB/s | 129 kB 00:00 (39/175): openldap-2.4.46-18.el8.ppc64le.rpm 3.2 MB/s | 380 kB 00:00 (40/175): cyrus-sasl-lib-2.1.27-6.el8_5.ppc64le 980 kB/s | 135 kB 00:00 (41/175): pcre-8.42-6.el8.ppc64le.rpm 1.3 MB/s | 206 kB 00:00 (42/175): filesystem-3.8-6.el8.ppc64le.rpm 7.6 MB/s | 1.1 MB 00:00 (43/175): keyutils-libs-1.5.10-9.el8.ppc64le.rp 310 kB/s | 35 kB 00:00 (44/175): libcap-ng-0.7.11-1.el8.ppc64le.rpm 203 kB/s | 35 kB 00:00 (45/175): libxcrypt-4.1.1-6.el8.ppc64le.rpm 600 kB/s | 77 kB 00:00 (46/175): libsepol-2.9-3.el8.ppc64le.rpm 1.8 MB/s | 368 kB 00:00 (47/175): nettle-3.4.1-7.el8.ppc64le.rpm 1.7 MB/s | 328 kB 00:00 (48/175): cpio-2.12-11.el8.ppc64le.rpm 1.3 MB/s | 270 kB 00:00 (49/175): gawk-4.2.1-4.el8.ppc64le.rpm 4.5 MB/s | 1.1 MB 00:00 (50/175): gzip-1.9-13.el8_5.ppc64le.rpm 714 kB/s | 170 kB 00:00 (51/175): info-6.5-7.el8.ppc64le.rpm 682 kB/s | 216 kB 00:00 (52/175): make-4.2.1-11.el8.ppc64le.rpm 2.1 MB/s | 504 kB 00:00 (53/175): sed-4.5-5.el8.ppc64le.rpm 1.4 MB/s | 303 kB 00:00 (54/175): unzip-6.0-46.el8.ppc64le.rpm 1.2 MB/s | 198 kB 00:00 (55/175): xz-5.2.4-4.el8_6.ppc64le.rpm 1.0 MB/s | 158 kB 00:00 (56/175): xz-libs-5.2.4-4.el8_6.ppc64le.rpm 664 kB/s | 112 kB 00:00 (57/175): gdbm-1.18-2.el8.ppc64le.rpm 1.1 MB/s | 136 kB 00:00 (58/175): gdbm-libs-1.18-2.el8.ppc64le.rpm 457 kB/s | 64 kB 00:00 (59/175): libbabeltrace-1.5.4-4.el8.ppc64le.rpm 1.2 MB/s | 219 kB 00:00 (60/175): gnupg2-2.2.20-3.el8_6.ppc64le.rpm 11 MB/s | 2.7 MB 00:00 (61/175): libcom_err-1.45.6-5.el8.ppc64le.rpm 285 kB/s | 50 kB 00:00 (62/175): libgcrypt-1.8.5-7.el8_6.ppc64le.rpm 2.9 MB/s | 521 kB 00:00 (63/175): libverto-0.3.2-2.el8.ppc64le.rpm 111 kB/s | 25 kB 00:00 (64/175): libsemanage-2.9-9.el8_6.ppc64le.rpm 463 kB/s | 179 kB 00:00 (65/175): pcre2-10.32-3.el8_6.ppc64le.rpm 664 kB/s | 238 kB 00:00 (66/175): coreutils-common-8.30-15.el8.ppc64le. 5.1 MB/s | 2.0 MB 00:00 (67/175): libarchive-3.3.3-5.el8.ppc64le.rpm 1.5 MB/s | 412 kB 00:00 (68/175): libffi-3.1-24.el8.ppc64le.rpm 269 kB/s | 39 kB 00:00 (69/175): libksba-1.3.5-9.el8_7.ppc64le.rpm 1.4 MB/s | 147 kB 00:00 (70/175): libselinux-2.9-8.el8.ppc64le.rpm 1.6 MB/s | 178 kB 00:00 (71/175): libtasn1-4.13-4.el8_7.ppc64le.rpm 545 kB/s | 82 kB 00:00 (72/175): setup-2.12.2-9.el8.noarch.rpm 988 kB/s | 181 kB 00:00 (73/175): platform-python-setuptools-39.2.0-7.e 3.1 MB/s | 632 kB 00:00 (74/175): tar-1.30-9.el8.ppc64le.rpm 3.5 MB/s | 858 kB 00:00 (75/175): ca-certificates-2023.2.60_v7.0.306-80 5.6 MB/s | 935 kB 00:00 (76/175): crypto-policies-20230731-1.git3177e06 349 kB/s | 64 kB 00:00 (77/175): coreutils-8.30-15.el8.ppc64le.rpm 3.8 MB/s | 1.3 MB 00:00 (78/175): libpwquality-1.4.4-6.el8.ppc64le.rpm 562 kB/s | 109 kB 00:00 (79/175): python3-setuptools-wheel-39.2.0-7.el8 1.9 MB/s | 289 kB 00:00 (80/175): which-2.21-20.el8.ppc64le.rpm 256 kB/s | 51 kB 00:00 (81/175): zlib-1.2.11-25.el8.ppc64le.rpm 359 kB/s | 113 kB 00:00 (82/175): chkconfig-1.19.2-1.el8.ppc64le.rpm 1.2 MB/s | 204 kB 00:00 (83/175): binutils-2.30-123.el8.ppc64le.rpm 21 MB/s | 6.5 MB 00:00 (84/175): gnutls-3.6.16-8.el8_9.3.ppc64le.rpm 6.6 MB/s | 992 kB 00:00 (85/175): libnghttp2-1.33.0-5.el8_9.ppc64le.rpm 794 kB/s | 85 kB 00:00 (86/175): libcap-2.48-6.el8_9.ppc64le.rpm 444 kB/s | 79 kB 00:00 (87/175): ncurses-base-6.1-10.20180224.el8.noar 743 kB/s | 81 kB 00:00 (88/175): ncurses-libs-6.1-10.20180224.el8.ppc6 2.9 MB/s | 361 kB 00:00 (89/175): ncurses-6.1-10.20180224.el8.ppc64le.r 1.6 MB/s | 393 kB 00:00 (90/175): openssl-libs-1.1.1k-12.el8_9.ppc64le. 8.9 MB/s | 1.5 MB 00:00 (91/175): sqlite-libs-3.26.0-19.el8_9.ppc64le.r 3.2 MB/s | 626 kB 00:00 (92/175): tzdata-2024a-1.el8.noarch.rpm 3.2 MB/s | 475 kB 00:00 (93/175): audit-libs-3.1.2-1.el8.ppc64le.rpm 1.1 MB/s | 137 kB 00:00 (94/175): bash-4.4.20-5.el8.ppc64le.rpm 10 MB/s | 1.6 MB 00:00 (95/175): curl-7.61.1-34.el8.ppc64le.rpm 1.5 MB/s | 358 kB 00:00 (96/175): elfutils-0.190-2.el8.ppc64le.rpm 3.4 MB/s | 589 kB 00:00 (97/175): elfutils-default-yama-scope-0.190-2.e 450 kB/s | 53 kB 00:00 (98/175): elfutils-libelf-0.190-2.el8.ppc64le.r 2.0 MB/s | 239 kB 00:00 (99/175): expat-2.2.5-13.el8_10.ppc64le.rpm 1.0 MB/s | 115 kB 00:00 (100/175): elfutils-libs-0.190-2.el8.ppc64le.rp 2.2 MB/s | 334 kB 00:00 (101/175): findutils-4.6.0-22.el8.ppc64le.rpm 4.8 MB/s | 542 kB 00:00 (102/175): file-5.33-26.el8.ppc64le.rpm 495 kB/s | 78 kB 00:00 (103/175): gmp-6.1.2-11.el8.ppc64le.rpm 1.9 MB/s | 289 kB 00:00 (104/175): file-libs-5.33-26.el8.ppc64le.rpm 1.9 MB/s | 552 kB 00:00 (105/175): glib2-2.56.4-162.el8.ppc64le.rpm 13 MB/s | 2.6 MB 00:00 (106/175): libacl-2.2.53-3.el8.ppc64le.rpm 343 kB/s | 37 kB 00:00 (107/175): libcurl-7.61.1-34.el8.ppc64le.rpm 2.5 MB/s | 320 kB 00:00 (108/175): libblkid-2.32.1-46.el8.ppc64le.rpm 1.5 MB/s | 242 kB 00:00 (109/175): libfdisk-2.32.1-46.el8.ppc64le.rpm 2.5 MB/s | 270 kB 00:00 (110/175): libmount-2.32.1-46.el8.ppc64le.rpm 2.5 MB/s | 260 kB 00:00 (111/175): libsmartcols-2.32.1-46.el8.ppc64le.r 1.6 MB/s | 192 kB 00:00 (112/175): libssh-0.9.6-14.el8.ppc64le.rpm 2.2 MB/s | 241 kB 00:00 (113/175): libssh-config-0.9.6-14.el8.noarch.rp 184 kB/s | 21 kB 00:00 (114/175): libtirpc-1.1.4-10.el8.ppc64le.rpm 1.1 MB/s | 127 kB 00:00 (115/175): libuuid-2.32.1-46.el8.ppc64le.rpm 749 kB/s | 101 kB 00:00 (116/175): p11-kit-0.23.22-2.el8.ppc64le.rpm 1.9 MB/s | 327 kB 00:00 (117/175): p11-kit-trust-0.23.22-2.el8.ppc64le. 975 kB/s | 149 kB 00:00 (118/175): pam-1.3.1-33.el8.ppc64le.rpm 3.0 MB/s | 792 kB 00:00 (119/175): python3-pip-wheel-9.0.3-24.el8.noarc 3.7 MB/s | 866 kB 00:00 (120/175): redhat-release-8.10-0.2.el8.ppc64le. 187 kB/s | 45 kB 00:00 (121/175): rpm-4.14.3-31.el8.ppc64le.rpm 4.5 MB/s | 545 kB 00:00 (122/175): rpm-build-libs-4.14.3-31.el8.ppc64le 1.2 MB/s | 166 kB 00:00 (123/175): rpm-libs-4.14.3-31.el8.ppc64le.rpm 3.1 MB/s | 381 kB 00:00 (124/175): systemd-libs-239-82.el8.ppc64le.rpm 9.7 MB/s | 1.1 MB 00:00 (125/175): tpm2-tss-2.3.2-6.el8.ppc64le.rpm 1.6 MB/s | 226 kB 00:00 (126/175): shadow-utils-4.6-22.el8.ppc64le.rpm 5.3 MB/s | 1.2 MB 00:00 (127/175): krb5-libs-1.18.2-27.el8_10.ppc64le.r 7.7 MB/s | 909 kB 00:00 (128/175): util-linux-2.32.1-46.el8.ppc64le.rpm 17 MB/s | 2.6 MB 00:00 (129/175): libasan-8.5.0-22.el8_10.ppc64le.rpm 1.3 MB/s | 439 kB 00:00 (130/175): libgcc-8.5.0-22.el8_10.ppc64le.rpm 262 kB/s | 70 kB 00:00 (131/175): libatomic-8.5.0-22.el8_10.ppc64le.rp 90 kB/s | 26 kB 00:00 (132/175): libgomp-8.5.0-22.el8_10.ppc64le.rpm 1.5 MB/s | 213 kB 00:00 (133/175): libstdc++-8.5.0-22.el8_10.ppc64le.rp 3.3 MB/s | 498 kB 00:00 (134/175): libubsan-8.5.0-22.el8_10.ppc64le.rpm 1.1 MB/s | 166 kB 00:00 (135/175): platform-python-3.6.8-62.el8_10.ppc6 336 kB/s | 88 kB 00:00 (136/175): glibc-common-2.28-251.el8_10.2.ppc64 1.9 MB/s | 1.0 MB 00:00 (137/175): glibc-2.28-251.el8_10.2.ppc64le.rpm 4.2 MB/s | 3.4 MB 00:00 (138/175): python3-libs-3.6.8-62.el8_10.ppc64le 6.9 MB/s | 8.1 MB 00:01 (139/175): glibc-devel-2.28-251.el8_10.2.ppc64l 577 kB/s | 104 kB 00:00 (140/175): glibc-headers-2.28-251.el8_10.2.ppc6 3.1 MB/s | 491 kB 00:00 (141/175): glibc-gconv-extra-2.28-251.el8_10.2. 7.7 MB/s | 1.8 MB 00:00 (142/175): libxml2-2.9.7-18.el8_10.1.ppc64le.rp 2.7 MB/s | 754 kB 00:00 (143/175): gc-7.6.4-3.el8.ppc64le.rpm 684 kB/s | 115 kB 00:00 (144/175): glibc-all-langpacks-2.28-251.el8_10. 18 MB/s | 26 MB 00:01 (145/175): libatomic_ops-7.6.2-3.el8.ppc64le.rp 222 kB/s | 38 kB 00:00 (146/175): kernel-headers-4.18.0-553.5.1.el8_10 14 MB/s | 12 MB 00:00 (147/175): isl-0.16.1-6.el8.ppc64le.rpm 4.7 MB/s | 1.2 MB 00:00 (148/175): ghc-srpm-macros-1.4.2-7.el8.noarch.r 55 kB/s | 9.4 kB 00:00 (149/175): guile-2.0.14-7.el8.ppc64le.rpm 9.8 MB/s | 3.5 MB 00:00 (150/175): perl-srpm-macros-1-25.el8.noarch.rpm 89 kB/s | 11 kB 00:00 (151/175): openblas-srpm-macros-2-2.el8.noarch. 42 kB/s | 8.0 kB 00:00 (152/175): rust-srpm-macros-5-2.el8.noarch.rpm 87 kB/s | 9.3 kB 00:00 (153/175): ocaml-srpm-macros-5-4.el8.noarch.rpm 22 kB/s | 9.5 kB 00:00 (154/175): zstd-1.4.4-1.el8.ppc64le.rpm 2.4 MB/s | 346 kB 00:00 (155/175): go-srpm-macros-2-17.el8.noarch.rpm 54 kB/s | 13 kB 00:00 (156/175): efi-srpm-macros-3-3.el8.noarch.rpm 91 kB/s | 22 kB 00:00 (157/175): libmpc-1.1.0-9.1.el8.ppc64le.rpm 177 kB/s | 65 kB 00:00 (158/175): qt5-srpm-macros-5.15.3-1.el8.noarch. 50 kB/s | 11 kB 00:00 (159/175): dwz-0.12-10.el8.ppc64le.rpm 498 kB/s | 114 kB 00:00 (160/175): python3-rpm-macros-3-45.el8.noarch.r 89 kB/s | 15 kB 00:00 (161/175): python-rpm-macros-3-45.el8.noarch.rp 85 kB/s | 16 kB 00:00 (162/175): redhat-rpm-config-131-1.el8.noarch.r 575 kB/s | 91 kB 00:00 (163/175): python-srpm-macros-3-45.el8.noarch.r 93 kB/s | 16 kB 00:00 (164/175): annobin-11.13-2.el8.ppc64le.rpm 3.3 MB/s | 974 kB 00:00 (165/175): gdb-headless-8.2-20.el8.ppc64le.rpm 12 MB/s | 3.5 MB 00:00 (166/175): rpm-build-4.14.3-31.el8.ppc64le.rpm 820 kB/s | 177 kB 00:00 (167/175): cpp-8.5.0-22.el8_10.ppc64le.rpm 15 MB/s | 11 MB 00:00 (168/175): gcc-c++-8.5.0-22.el8_10.ppc64le.rpm 18 MB/s | 13 MB 00:00 (169/175): gcc-8.5.0-22.el8_10.ppc64le.rpm 24 MB/s | 21 MB 00:00 (170/175): gcc-plugin-annobin-8.5.0-22.el8_10.p 181 kB/s | 38 kB 00:00 (171/175): epel-rpm-macros-8-41.noarch.rpm 337 kB/s | 27 kB 00:00 (172/175): fpc-srpm-macros-1.3-1.el8.noarch.rpm 367 kB/s | 8.2 kB 00:00 (173/175): ansible-srpm-macros-1-12.el8.noarch. 198 kB/s | 21 kB 00:00 (174/175): libstdc++-devel-8.5.0-22.el8_10.ppc6 8.6 MB/s | 2.1 MB 00:00 (175/175): lua-srpm-macros-1-13.el8.noarch.rpm 374 kB/s | 9.2 kB 00:00 -------------------------------------------------------------------------------- Total 13 MB/s | 166 MB 00:12 Red Hat Enterprise Linux - BaseOS 3.1 MB/s | 3.1 kB 00:00 Importing GPG key 0xFD431D51: Userid : "Red Hat, Inc. (release key 2) " Fingerprint: 567E 347A D004 4ADE 55BA 8A5F 199E 2F91 FD43 1D51 From : /usr/share/distribution-gpg-keys/redhat/RPM-GPG-KEY-redhat8-release Key imported successfully Importing GPG key 0x2FA658E0: Userid : "Red Hat, Inc. (auxiliary key) " Fingerprint: 43A6 E49C 4A38 F4BE 9ABF 2A53 4568 9C88 2FA6 58E0 From : /usr/share/distribution-gpg-keys/redhat/RPM-GPG-KEY-redhat8-release Key imported successfully Extra Packages for Enterprise Linux 8 - ppc64le 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x2F86D6A1: Userid : "Fedora EPEL (8) " Fingerprint: 94E2 79EB 8D8F 25B2 1810 ADF1 21EA 45AB 2F86 D6A1 From : /usr/share/distribution-gpg-keys/epel/RPM-GPG-KEY-EPEL-8 Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.8-6.el8.ppc64le 1/1 Preparing : 1/1 Installing : libgcc-8.5.0-22.el8_10.ppc64le 1/175 Running scriptlet: libgcc-8.5.0-22.el8_10.ppc64le 1/175 Installing : python-srpm-macros-3-45.el8.noarch 2/175 Installing : crypto-policies-20230731-1.git3177e06.el8.noarch 3/175 Running scriptlet: crypto-policies-20230731-1.git3177e06.el8.noarch 3/175 Installing : python-rpm-macros-3-45.el8.noarch 4/175 Installing : redhat-release-8.10-0.2.el8.ppc64le 5/175 Installing : setup-2.12.2-9.el8.noarch 6/175 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.12.2-9.el8.noarch 6/175 Installing : filesystem-3.8-6.el8.ppc64le 7/175 Installing : python3-pip-wheel-9.0.3-24.el8.noarch 8/175 Installing : python3-setuptools-wheel-39.2.0-7.el8.noarch 9/175 Installing : basesystem-11-5.el8.noarch 10/175 Installing : python3-rpm-macros-3-45.el8.noarch 11/175 Installing : fpc-srpm-macros-1.3-1.el8.noarch 12/175 Installing : ansible-srpm-macros-1-12.el8.noarch 13/175 Installing : qt5-srpm-macros-5.15.3-1.el8.noarch 14/175 Installing : go-srpm-macros-2-17.el8.noarch 15/175 Installing : rust-srpm-macros-5-2.el8.noarch 16/175 Installing : perl-srpm-macros-1-25.el8.noarch 17/175 Installing : openblas-srpm-macros-2-2.el8.noarch 18/175 Installing : ocaml-srpm-macros-5-4.el8.noarch 19/175 Installing : ghc-srpm-macros-1.4.2-7.el8.noarch 20/175 Installing : kernel-headers-4.18.0-553.5.1.el8_10.ppc64le 21/175 Installing : libssh-config-0.9.6-14.el8.noarch 22/175 Installing : tzdata-2024a-1.el8.noarch 23/175 Installing : ncurses-base-6.1-10.20180224.el8.noarch 24/175 Installing : pcre2-10.32-3.el8_6.ppc64le 25/175 Installing : libselinux-2.9-8.el8.ppc64le 26/175 Installing : ncurses-libs-6.1-10.20180224.el8.ppc64le 27/175 Installing : glibc-common-2.28-251.el8_10.2.ppc64le 28/175 Installing : glibc-all-langpacks-2.28-251.el8_10.2.ppc64le 29/175 Installing : glibc-gconv-extra-2.28-251.el8_10.2.ppc64le 30/175 Running scriptlet: glibc-gconv-extra-2.28-251.el8_10.2.ppc64le 30/175 Running scriptlet: glibc-2.28-251.el8_10.2.ppc64le 31/175 Installing : glibc-2.28-251.el8_10.2.ppc64le 31/175 Running scriptlet: glibc-2.28-251.el8_10.2.ppc64le 31/175 Installing : bash-4.4.20-5.el8.ppc64le 32/175 Running scriptlet: bash-4.4.20-5.el8.ppc64le 32/175 Installing : libsepol-2.9-3.el8.ppc64le 33/175 Running scriptlet: libsepol-2.9-3.el8.ppc64le 33/175 Installing : zlib-1.2.11-25.el8.ppc64le 34/175 Installing : info-6.5-7.el8.ppc64le 35/175 Installing : bzip2-libs-1.0.6-26.el8.ppc64le 36/175 Installing : xz-libs-5.2.4-4.el8_6.ppc64le 37/175 Installing : gmp-1:6.1.2-11.el8.ppc64le 38/175 Running scriptlet: gmp-1:6.1.2-11.el8.ppc64le 38/175 Installing : libstdc++-8.5.0-22.el8_10.ppc64le 39/175 Running scriptlet: libstdc++-8.5.0-22.el8_10.ppc64le 39/175 Installing : libzstd-1.4.4-1.el8.ppc64le 40/175 Installing : elfutils-libelf-0.190-2.el8.ppc64le 41/175 Installing : libxcrypt-4.1.1-6.el8.ppc64le 42/175 Installing : mpfr-3.1.6-1.el8.ppc64le 43/175 Running scriptlet: mpfr-3.1.6-1.el8.ppc64le 43/175 Installing : readline-7.0-10.el8.ppc64le 44/175 Running scriptlet: readline-7.0-10.el8.ppc64le 44/175 Installing : sqlite-libs-3.26.0-19.el8_9.ppc64le 45/175 Installing : popt-1.18-1.el8.ppc64le 46/175 Installing : libcap-2.48-6.el8_9.ppc64le 47/175 Installing : libcom_err-1.45.6-5.el8.ppc64le 48/175 Running scriptlet: libcom_err-1.45.6-5.el8.ppc64le 48/175 Installing : libuuid-2.32.1-46.el8.ppc64le 49/175 Running scriptlet: libuuid-2.32.1-46.el8.ppc64le 49/175 Installing : chkconfig-1.19.2-1.el8.ppc64le 50/175 Installing : libunistring-0.9.9-3.el8.ppc64le 51/175 Installing : libattr-2.4.48-3.el8.ppc64le 52/175 Installing : libacl-2.2.53-3.el8.ppc64le 53/175 Installing : sed-4.5-5.el8.ppc64le 54/175 Running scriptlet: sed-4.5-5.el8.ppc64le 54/175 Installing : libgpg-error-1.31-1.el8.ppc64le 55/175 Installing : lua-libs-5.3.4-12.el8.ppc64le 56/175 Installing : libffi-3.1-24.el8.ppc64le 57/175 Installing : p11-kit-0.23.22-2.el8.ppc64le 58/175 Installing : libidn2-2.2.0-1.el8.ppc64le 59/175 Installing : libmpc-1.1.0-9.1.el8.ppc64le 60/175 Installing : file-libs-5.33-26.el8.ppc64le 61/175 Installing : file-5.33-26.el8.ppc64le 62/175 Installing : libgcrypt-1.8.5-7.el8_6.ppc64le 63/175 Running scriptlet: libgcrypt-1.8.5-7.el8_6.ppc64le 63/175 Installing : unzip-6.0-46.el8.ppc64le 64/175 Installing : findutils-1:4.6.0-22.el8.ppc64le 65/175 Running scriptlet: findutils-1:4.6.0-22.el8.ppc64le 65/175 Installing : elfutils-default-yama-scope-0.190-2.el8.noarch 66/175 Running scriptlet: elfutils-default-yama-scope-0.190-2.el8.noarch 66/175 Installing : elfutils-libs-0.190-2.el8.ppc64le 67/175 Running scriptlet: glibc-headers-2.28-251.el8_10.2.ppc64le 68/175 Installing : glibc-headers-2.28-251.el8_10.2.ppc64le 68/175 Installing : lz4-libs-1.8.3-3.el8_4.ppc64le 69/175 Installing : pcre-8.42-6.el8.ppc64le 70/175 Installing : grep-3.1-6.el8.ppc64le 71/175 Running scriptlet: grep-3.1-6.el8.ppc64le 71/175 Installing : keyutils-libs-1.5.10-9.el8.ppc64le 72/175 Installing : libcap-ng-0.7.11-1.el8.ppc64le 73/175 Installing : audit-libs-3.1.2-1.el8.ppc64le 74/175 Installing : gdbm-libs-1:1.18-2.el8.ppc64le 75/175 Installing : libtasn1-4.13-4.el8_7.ppc64le 76/175 Running scriptlet: libtasn1-4.13-4.el8_7.ppc64le 76/175 Installing : p11-kit-trust-0.23.22-2.el8.ppc64le 77/175 Running scriptlet: p11-kit-trust-0.23.22-2.el8.ppc64le 77/175 Installing : expat-2.2.5-13.el8_10.ppc64le 78/175 Installing : gdbm-1:1.18-2.el8.ppc64le 79/175 Installing : libsemanage-2.9-9.el8_6.ppc64le 80/175 Installing : xz-5.2.4-4.el8_6.ppc64le 81/175 Installing : elfutils-0.190-2.el8.ppc64le 82/175 Installing : zip-3.0-23.el8.ppc64le 83/175 Installing : cpp-8.5.0-22.el8_10.ppc64le 84/175 Running scriptlet: cpp-8.5.0-22.el8_10.ppc64le 84/175 Installing : libassuan-2.5.1-3.el8.ppc64le 85/175 Installing : libksba-1.3.5-9.el8_7.ppc64le 86/175 Installing : tar-2:1.30-9.el8.ppc64le 87/175 Running scriptlet: tar-2:1.30-9.el8.ppc64le 87/175 Installing : patch-2.7.6-11.el8.ppc64le 88/175 Installing : dwz-0.12-10.el8.ppc64le 89/175 Installing : libasan-8.5.0-22.el8_10.ppc64le 90/175 Running scriptlet: libasan-8.5.0-22.el8_10.ppc64le 90/175 Installing : libubsan-8.5.0-22.el8_10.ppc64le 91/175 Running scriptlet: libubsan-8.5.0-22.el8_10.ppc64le 91/175 Installing : libstdc++-devel-8.5.0-22.el8_10.ppc64le 92/175 Installing : nettle-3.4.1-7.el8.ppc64le 93/175 Running scriptlet: nettle-3.4.1-7.el8.ppc64le 93/175 Installing : gnutls-3.6.16-8.el8_9.3.ppc64le 94/175 Installing : isl-0.16.1-6.el8.ppc64le 95/175 Running scriptlet: isl-0.16.1-6.el8.ppc64le 95/175 Installing : libxml2-2.9.7-18.el8_10.1.ppc64le 96/175 Installing : bzip2-1.0.6-26.el8.ppc64le 97/175 Installing : diffutils-3.6-6.el8.ppc64le 98/175 Running scriptlet: diffutils-3.6-6.el8.ppc64le 98/175 Installing : coreutils-common-8.30-15.el8.ppc64le 99/175 Running scriptlet: coreutils-common-8.30-15.el8.ppc64le 99/175 Installing : libatomic-8.5.0-22.el8_10.ppc64le 100/175 Running scriptlet: libatomic-8.5.0-22.el8_10.ppc64le 100/175 Installing : libgomp-8.5.0-22.el8_10.ppc64le 101/175 Running scriptlet: libgomp-8.5.0-22.el8_10.ppc64le 101/175 Installing : zstd-1.4.4-1.el8.ppc64le 102/175 Installing : libpkgconf-1.4.2-1.el8.ppc64le 103/175 Installing : pkgconf-1.4.2-1.el8.ppc64le 104/175 Installing : librtas-2.0.2-1.el8.ppc64le 105/175 Running scriptlet: librtas-2.0.2-1.el8.ppc64le 105/175 Installing : libsigsegv-2.11-5.el8.ppc64le 106/175 Installing : gawk-4.2.1-4.el8.ppc64le 107/175 Installing : libtool-ltdl-2.4.6-25.el8.ppc64le 108/175 Running scriptlet: libtool-ltdl-2.4.6-25.el8.ppc64le 108/175 Installing : npth-1.5-4.el8.ppc64le 109/175 Installing : brotli-1.0.6-3.el8.ppc64le 110/175 Installing : cpio-2.12-11.el8.ppc64le 111/175 Installing : libverto-0.3.2-2.el8.ppc64le 112/175 Installing : libnghttp2-1.33.0-5.el8_9.ppc64le 113/175 Installing : ncurses-6.1-10.20180224.el8.ppc64le 114/175 Installing : openssl-libs-1:1.1.1k-12.el8_9.ppc64le 115/175 Running scriptlet: openssl-libs-1:1.1.1k-12.el8_9.ppc64le 115/175 Installing : coreutils-8.30-15.el8.ppc64le 116/175 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 117/175 Installing : ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 117/175 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 117/175 Installing : libdb-5.3.28-42.el8_4.ppc64le 118/175 Running scriptlet: libdb-5.3.28-42.el8_4.ppc64le 118/175 Installing : krb5-libs-1.18.2-27.el8_10.ppc64le 119/175 Installing : libtirpc-1.1.4-10.el8.ppc64le 120/175 Running scriptlet: libtirpc-1.1.4-10.el8.ppc64le 120/175 Installing : libblkid-2.32.1-46.el8.ppc64le 121/175 Running scriptlet: libblkid-2.32.1-46.el8.ppc64le 121/175 Installing : libmount-2.32.1-46.el8.ppc64le 122/175 Running scriptlet: libmount-2.32.1-46.el8.ppc64le 122/175 Installing : systemd-libs-239-82.el8.ppc64le 123/175 Running scriptlet: systemd-libs-239-82.el8.ppc64le 123/175 Installing : libnsl2-1.2.0-2.20180605git4a062cf.el8.ppc64le 124/175 Running scriptlet: libnsl2-1.2.0-2.20180605git4a062cf.el8.ppc64le 124/175 Installing : platform-python-setuptools-39.2.0-7.el8.noarch 125/175 Installing : platform-python-3.6.8-62.el8_10.ppc64le 126/175 Running scriptlet: platform-python-3.6.8-62.el8_10.ppc64le 126/175 Installing : python3-libs-3.6.8-62.el8_10.ppc64le 127/175 Installing : gzip-1.9-13.el8_5.ppc64le 128/175 Running scriptlet: gzip-1.9-13.el8_5.ppc64le 128/175 Installing : cracklib-2.9.6-15.el8.ppc64le 129/175 Installing : cracklib-dicts-2.9.6-15.el8.ppc64le 130/175 Installing : binutils-2.30-123.el8.ppc64le 131/175 Running scriptlet: binutils-2.30-123.el8.ppc64le 131/175 Installing : shadow-utils-2:4.6-22.el8.ppc64le 132/175 Running scriptlet: libutempter-1.1.6-14.el8.ppc64le 133/175 Installing : libutempter-1.1.6-14.el8.ppc64le 133/175 Running scriptlet: tpm2-tss-2.3.2-6.el8.ppc64le 134/175 Installing : tpm2-tss-2.3.2-6.el8.ppc64le 134/175 Running scriptlet: tpm2-tss-2.3.2-6.el8.ppc64le 134/175 Installing : ima-evm-utils-1.3.2-12.el8.ppc64le 135/175 Installing : libpwquality-1.4.4-6.el8.ppc64le 136/175 Installing : pam-1.3.1-33.el8.ppc64le 137/175 Running scriptlet: pam-1.3.1-33.el8.ppc64le 137/175 Installing : libusbx-1.0.23-4.el8.ppc64le 138/175 Installing : glib2-2.56.4-162.el8.ppc64le 139/175 Installing : libbabeltrace-1.5.4-4.el8.ppc64le 140/175 Running scriptlet: libbabeltrace-1.5.4-4.el8.ppc64le 140/175 Installing : libfdisk-2.32.1-46.el8.ppc64le 141/175 Running scriptlet: libfdisk-2.32.1-46.el8.ppc64le 141/175 Installing : cyrus-sasl-lib-2.1.27-6.el8_5.ppc64le 142/175 Running scriptlet: cyrus-sasl-lib-2.1.27-6.el8_5.ppc64le 142/175 Installing : openldap-2.4.46-18.el8.ppc64le 143/175 Installing : gnupg2-2.2.20-3.el8_6.ppc64le 144/175 Installing : libssh-0.9.6-14.el8.ppc64le 145/175 Installing : libdb-utils-5.3.28-42.el8_4.ppc64le 146/175 Installing : libarchive-3.3.3-5.el8.ppc64le 147/175 Installing : libsmartcols-2.32.1-46.el8.ppc64le 148/175 Running scriptlet: libsmartcols-2.32.1-46.el8.ppc64le 148/175 Installing : libatomic_ops-7.6.2-3.el8.ppc64le 149/175 Installing : gc-7.6.4-3.el8.ppc64le 150/175 Installing : guile-5:2.0.14-7.el8.ppc64le 151/175 Running scriptlet: guile-5:2.0.14-7.el8.ppc64le 151/175 Installing : publicsuffix-list-dafsa-20180723-1.el8.noarch 152/175 Installing : libpsl-0.20.2-6.el8.ppc64le 153/175 Installing : libcurl-7.61.1-34.el8.ppc64le 154/175 Installing : curl-7.61.1-34.el8.ppc64le 155/175 Installing : rpm-4.14.3-31.el8.ppc64le 156/175 Installing : rpm-libs-4.14.3-31.el8.ppc64le 157/175 Running scriptlet: rpm-libs-4.14.3-31.el8.ppc64le 157/175 Installing : rpm-build-libs-4.14.3-31.el8.ppc64le 158/175 Running scriptlet: rpm-build-libs-4.14.3-31.el8.ppc64le 158/175 Installing : gdb-headless-8.2-20.el8.ppc64le 159/175 Installing : efi-srpm-macros-3-3.el8.noarch 160/175 Installing : lua-srpm-macros-1-13.el8.noarch 161/175 Installing : pkgconf-m4-1.4.2-1.el8.noarch 162/175 Installing : pkgconf-pkg-config-1.4.2-1.el8.ppc64le 163/175 Installing : glibc-devel-2.28-251.el8_10.2.ppc64le 164/175 Running scriptlet: glibc-devel-2.28-251.el8_10.2.ppc64le 164/175 Installing : libxcrypt-devel-4.1.1-6.el8.ppc64le 165/175 Installing : gcc-8.5.0-22.el8_10.ppc64le 166/175 Running scriptlet: gcc-8.5.0-22.el8_10.ppc64le 166/175 Installing : annobin-11.13-2.el8.ppc64le 167/175 Installing : gcc-plugin-annobin-8.5.0-22.el8_10.ppc64le 168/175 Installing : redhat-rpm-config-131-1.el8.noarch 169/175 Running scriptlet: redhat-rpm-config-131-1.el8.noarch 169/175 Installing : rpm-build-4.14.3-31.el8.ppc64le 170/175 Installing : gcc-c++-8.5.0-22.el8_10.ppc64le 171/175 Installing : epel-rpm-macros-8-41.noarch 172/175 Installing : util-linux-2.32.1-46.el8.ppc64le 173/175 Running scriptlet: util-linux-2.32.1-46.el8.ppc64le 173/175 Installing : which-2.21-20.el8.ppc64le 174/175 Installing : make-1:4.2.1-11.el8.ppc64le 175/175 Running scriptlet: make-1:4.2.1-11.el8.ppc64le 175/175 Running scriptlet: filesystem-3.8-6.el8.ppc64le 175/175 Running scriptlet: glibc-all-langpacks-2.28-251.el8_10.2.ppc64le 175/175 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 175/175 Running scriptlet: guile-5:2.0.14-7.el8.ppc64le 175/175 Running scriptlet: glibc-common-2.28-251.el8_10.2.ppc64le 175/175 Running scriptlet: info-6.5-7.el8.ppc64le 175/175 Running scriptlet: glib2-2.56.4-162.el8.ppc64le 175/175 Verifying : bzip2-1.0.6-26.el8.ppc64le 1/175 Verifying : bzip2-libs-1.0.6-26.el8.ppc64le 2/175 Verifying : cracklib-2.9.6-15.el8.ppc64le 3/175 Verifying : cracklib-dicts-2.9.6-15.el8.ppc64le 4/175 Verifying : grep-3.1-6.el8.ppc64le 5/175 Verifying : libassuan-2.5.1-3.el8.ppc64le 6/175 Verifying : libattr-2.4.48-3.el8.ppc64le 7/175 Verifying : libgpg-error-1.31-1.el8.ppc64le 8/175 Verifying : libnsl2-1.2.0-2.20180605git4a062cf.el8.ppc64le 9/175 Verifying : libpkgconf-1.4.2-1.el8.ppc64le 10/175 Verifying : librtas-2.0.2-1.el8.ppc64le 11/175 Verifying : libsigsegv-2.11-5.el8.ppc64le 12/175 Verifying : libtool-ltdl-2.4.6-25.el8.ppc64le 13/175 Verifying : libunistring-0.9.9-3.el8.ppc64le 14/175 Verifying : libutempter-1.1.6-14.el8.ppc64le 15/175 Verifying : mpfr-3.1.6-1.el8.ppc64le 16/175 Verifying : npth-1.5-4.el8.ppc64le 17/175 Verifying : pkgconf-1.4.2-1.el8.ppc64le 18/175 Verifying : pkgconf-pkg-config-1.4.2-1.el8.ppc64le 19/175 Verifying : readline-7.0-10.el8.ppc64le 20/175 Verifying : zip-3.0-23.el8.ppc64le 21/175 Verifying : basesystem-11-5.el8.noarch 22/175 Verifying : pkgconf-m4-1.4.2-1.el8.noarch 23/175 Verifying : publicsuffix-list-dafsa-20180723-1.el8.noarch 24/175 Verifying : libidn2-2.2.0-1.el8.ppc64le 25/175 Verifying : diffutils-3.6-6.el8.ppc64le 26/175 Verifying : patch-2.7.6-11.el8.ppc64le 27/175 Verifying : libpsl-0.20.2-6.el8.ppc64le 28/175 Verifying : libusbx-1.0.23-4.el8.ppc64le 29/175 Verifying : libzstd-1.4.4-1.el8.ppc64le 30/175 Verifying : brotli-1.0.6-3.el8.ppc64le 31/175 Verifying : ima-evm-utils-1.3.2-12.el8.ppc64le 32/175 Verifying : popt-1.18-1.el8.ppc64le 33/175 Verifying : libdb-5.3.28-42.el8_4.ppc64le 34/175 Verifying : libdb-utils-5.3.28-42.el8_4.ppc64le 35/175 Verifying : libxcrypt-devel-4.1.1-6.el8.ppc64le 36/175 Verifying : lua-libs-5.3.4-12.el8.ppc64le 37/175 Verifying : lz4-libs-1.8.3-3.el8_4.ppc64le 38/175 Verifying : openldap-2.4.46-18.el8.ppc64le 39/175 Verifying : pcre-8.42-6.el8.ppc64le 40/175 Verifying : cyrus-sasl-lib-2.1.27-6.el8_5.ppc64le 41/175 Verifying : filesystem-3.8-6.el8.ppc64le 42/175 Verifying : keyutils-libs-1.5.10-9.el8.ppc64le 43/175 Verifying : libcap-ng-0.7.11-1.el8.ppc64le 44/175 Verifying : libsepol-2.9-3.el8.ppc64le 45/175 Verifying : libxcrypt-4.1.1-6.el8.ppc64le 46/175 Verifying : nettle-3.4.1-7.el8.ppc64le 47/175 Verifying : cpio-2.12-11.el8.ppc64le 48/175 Verifying : gawk-4.2.1-4.el8.ppc64le 49/175 Verifying : gzip-1.9-13.el8_5.ppc64le 50/175 Verifying : info-6.5-7.el8.ppc64le 51/175 Verifying : make-1:4.2.1-11.el8.ppc64le 52/175 Verifying : sed-4.5-5.el8.ppc64le 53/175 Verifying : unzip-6.0-46.el8.ppc64le 54/175 Verifying : xz-5.2.4-4.el8_6.ppc64le 55/175 Verifying : xz-libs-5.2.4-4.el8_6.ppc64le 56/175 Verifying : gdbm-1:1.18-2.el8.ppc64le 57/175 Verifying : gdbm-libs-1:1.18-2.el8.ppc64le 58/175 Verifying : gnupg2-2.2.20-3.el8_6.ppc64le 59/175 Verifying : libbabeltrace-1.5.4-4.el8.ppc64le 60/175 Verifying : libcom_err-1.45.6-5.el8.ppc64le 61/175 Verifying : libgcrypt-1.8.5-7.el8_6.ppc64le 62/175 Verifying : libsemanage-2.9-9.el8_6.ppc64le 63/175 Verifying : libverto-0.3.2-2.el8.ppc64le 64/175 Verifying : pcre2-10.32-3.el8_6.ppc64le 65/175 Verifying : coreutils-common-8.30-15.el8.ppc64le 66/175 Verifying : libarchive-3.3.3-5.el8.ppc64le 67/175 Verifying : libffi-3.1-24.el8.ppc64le 68/175 Verifying : libksba-1.3.5-9.el8_7.ppc64le 69/175 Verifying : libselinux-2.9-8.el8.ppc64le 70/175 Verifying : libtasn1-4.13-4.el8_7.ppc64le 71/175 Verifying : platform-python-setuptools-39.2.0-7.el8.noarch 72/175 Verifying : setup-2.12.2-9.el8.noarch 73/175 Verifying : tar-2:1.30-9.el8.ppc64le 74/175 Verifying : ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.no 75/175 Verifying : coreutils-8.30-15.el8.ppc64le 76/175 Verifying : crypto-policies-20230731-1.git3177e06.el8.noarch 77/175 Verifying : libpwquality-1.4.4-6.el8.ppc64le 78/175 Verifying : python3-setuptools-wheel-39.2.0-7.el8.noarch 79/175 Verifying : which-2.21-20.el8.ppc64le 80/175 Verifying : zlib-1.2.11-25.el8.ppc64le 81/175 Verifying : binutils-2.30-123.el8.ppc64le 82/175 Verifying : chkconfig-1.19.2-1.el8.ppc64le 83/175 Verifying : gnutls-3.6.16-8.el8_9.3.ppc64le 84/175 Verifying : libcap-2.48-6.el8_9.ppc64le 85/175 Verifying : libnghttp2-1.33.0-5.el8_9.ppc64le 86/175 Verifying : ncurses-6.1-10.20180224.el8.ppc64le 87/175 Verifying : ncurses-base-6.1-10.20180224.el8.noarch 88/175 Verifying : ncurses-libs-6.1-10.20180224.el8.ppc64le 89/175 Verifying : openssl-libs-1:1.1.1k-12.el8_9.ppc64le 90/175 Verifying : sqlite-libs-3.26.0-19.el8_9.ppc64le 91/175 Verifying : tzdata-2024a-1.el8.noarch 92/175 Verifying : audit-libs-3.1.2-1.el8.ppc64le 93/175 Verifying : bash-4.4.20-5.el8.ppc64le 94/175 Verifying : curl-7.61.1-34.el8.ppc64le 95/175 Verifying : elfutils-0.190-2.el8.ppc64le 96/175 Verifying : elfutils-default-yama-scope-0.190-2.el8.noarch 97/175 Verifying : elfutils-libelf-0.190-2.el8.ppc64le 98/175 Verifying : elfutils-libs-0.190-2.el8.ppc64le 99/175 Verifying : expat-2.2.5-13.el8_10.ppc64le 100/175 Verifying : file-5.33-26.el8.ppc64le 101/175 Verifying : file-libs-5.33-26.el8.ppc64le 102/175 Verifying : findutils-1:4.6.0-22.el8.ppc64le 103/175 Verifying : glib2-2.56.4-162.el8.ppc64le 104/175 Verifying : gmp-1:6.1.2-11.el8.ppc64le 105/175 Verifying : libacl-2.2.53-3.el8.ppc64le 106/175 Verifying : libblkid-2.32.1-46.el8.ppc64le 107/175 Verifying : libcurl-7.61.1-34.el8.ppc64le 108/175 Verifying : libfdisk-2.32.1-46.el8.ppc64le 109/175 Verifying : libmount-2.32.1-46.el8.ppc64le 110/175 Verifying : libsmartcols-2.32.1-46.el8.ppc64le 111/175 Verifying : libssh-0.9.6-14.el8.ppc64le 112/175 Verifying : libssh-config-0.9.6-14.el8.noarch 113/175 Verifying : libtirpc-1.1.4-10.el8.ppc64le 114/175 Verifying : libuuid-2.32.1-46.el8.ppc64le 115/175 Verifying : p11-kit-0.23.22-2.el8.ppc64le 116/175 Verifying : p11-kit-trust-0.23.22-2.el8.ppc64le 117/175 Verifying : pam-1.3.1-33.el8.ppc64le 118/175 Verifying : python3-pip-wheel-9.0.3-24.el8.noarch 119/175 Verifying : redhat-release-8.10-0.2.el8.ppc64le 120/175 Verifying : rpm-4.14.3-31.el8.ppc64le 121/175 Verifying : rpm-build-libs-4.14.3-31.el8.ppc64le 122/175 Verifying : rpm-libs-4.14.3-31.el8.ppc64le 123/175 Verifying : shadow-utils-2:4.6-22.el8.ppc64le 124/175 Verifying : systemd-libs-239-82.el8.ppc64le 125/175 Verifying : tpm2-tss-2.3.2-6.el8.ppc64le 126/175 Verifying : util-linux-2.32.1-46.el8.ppc64le 127/175 Verifying : krb5-libs-1.18.2-27.el8_10.ppc64le 128/175 Verifying : libasan-8.5.0-22.el8_10.ppc64le 129/175 Verifying : libatomic-8.5.0-22.el8_10.ppc64le 130/175 Verifying : libgcc-8.5.0-22.el8_10.ppc64le 131/175 Verifying : libgomp-8.5.0-22.el8_10.ppc64le 132/175 Verifying : libstdc++-8.5.0-22.el8_10.ppc64le 133/175 Verifying : libubsan-8.5.0-22.el8_10.ppc64le 134/175 Verifying : platform-python-3.6.8-62.el8_10.ppc64le 135/175 Verifying : python3-libs-3.6.8-62.el8_10.ppc64le 136/175 Verifying : glibc-common-2.28-251.el8_10.2.ppc64le 137/175 Verifying : glibc-2.28-251.el8_10.2.ppc64le 138/175 Verifying : glibc-all-langpacks-2.28-251.el8_10.2.ppc64le 139/175 Verifying : glibc-devel-2.28-251.el8_10.2.ppc64le 140/175 Verifying : glibc-gconv-extra-2.28-251.el8_10.2.ppc64le 141/175 Verifying : glibc-headers-2.28-251.el8_10.2.ppc64le 142/175 Verifying : kernel-headers-4.18.0-553.5.1.el8_10.ppc64le 143/175 Verifying : libxml2-2.9.7-18.el8_10.1.ppc64le 144/175 Verifying : gc-7.6.4-3.el8.ppc64le 145/175 Verifying : libatomic_ops-7.6.2-3.el8.ppc64le 146/175 Verifying : isl-0.16.1-6.el8.ppc64le 147/175 Verifying : guile-5:2.0.14-7.el8.ppc64le 148/175 Verifying : ghc-srpm-macros-1.4.2-7.el8.noarch 149/175 Verifying : ocaml-srpm-macros-5-4.el8.noarch 150/175 Verifying : openblas-srpm-macros-2-2.el8.noarch 151/175 Verifying : perl-srpm-macros-1-25.el8.noarch 152/175 Verifying : rust-srpm-macros-5-2.el8.noarch 153/175 Verifying : zstd-1.4.4-1.el8.ppc64le 154/175 Verifying : efi-srpm-macros-3-3.el8.noarch 155/175 Verifying : go-srpm-macros-2-17.el8.noarch 156/175 Verifying : libmpc-1.1.0-9.1.el8.ppc64le 157/175 Verifying : dwz-0.12-10.el8.ppc64le 158/175 Verifying : qt5-srpm-macros-5.15.3-1.el8.noarch 159/175 Verifying : python-rpm-macros-3-45.el8.noarch 160/175 Verifying : python3-rpm-macros-3-45.el8.noarch 161/175 Verifying : redhat-rpm-config-131-1.el8.noarch 162/175 Verifying : python-srpm-macros-3-45.el8.noarch 163/175 Verifying : annobin-11.13-2.el8.ppc64le 164/175 Verifying : gdb-headless-8.2-20.el8.ppc64le 165/175 Verifying : rpm-build-4.14.3-31.el8.ppc64le 166/175 Verifying : cpp-8.5.0-22.el8_10.ppc64le 167/175 Verifying : gcc-8.5.0-22.el8_10.ppc64le 168/175 Verifying : gcc-c++-8.5.0-22.el8_10.ppc64le 169/175 Verifying : gcc-plugin-annobin-8.5.0-22.el8_10.ppc64le 170/175 Verifying : libstdc++-devel-8.5.0-22.el8_10.ppc64le 171/175 Verifying : ansible-srpm-macros-1-12.el8.noarch 172/175 Verifying : epel-rpm-macros-8-41.noarch 173/175 Verifying : fpc-srpm-macros-1.3-1.el8.noarch 174/175 Verifying : lua-srpm-macros-1-13.el8.noarch 175/175 Installed products updated. Installed: annobin-11.13-2.el8.ppc64le ansible-srpm-macros-1-12.el8.noarch audit-libs-3.1.2-1.el8.ppc64le basesystem-11-5.el8.noarch bash-4.4.20-5.el8.ppc64le binutils-2.30-123.el8.ppc64le brotli-1.0.6-3.el8.ppc64le bzip2-1.0.6-26.el8.ppc64le bzip2-libs-1.0.6-26.el8.ppc64le ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.noarch chkconfig-1.19.2-1.el8.ppc64le coreutils-8.30-15.el8.ppc64le coreutils-common-8.30-15.el8.ppc64le cpio-2.12-11.el8.ppc64le cpp-8.5.0-22.el8_10.ppc64le cracklib-2.9.6-15.el8.ppc64le cracklib-dicts-2.9.6-15.el8.ppc64le crypto-policies-20230731-1.git3177e06.el8.noarch curl-7.61.1-34.el8.ppc64le cyrus-sasl-lib-2.1.27-6.el8_5.ppc64le diffutils-3.6-6.el8.ppc64le dwz-0.12-10.el8.ppc64le efi-srpm-macros-3-3.el8.noarch elfutils-0.190-2.el8.ppc64le elfutils-default-yama-scope-0.190-2.el8.noarch elfutils-libelf-0.190-2.el8.ppc64le elfutils-libs-0.190-2.el8.ppc64le epel-rpm-macros-8-41.noarch expat-2.2.5-13.el8_10.ppc64le file-5.33-26.el8.ppc64le file-libs-5.33-26.el8.ppc64le filesystem-3.8-6.el8.ppc64le findutils-1:4.6.0-22.el8.ppc64le fpc-srpm-macros-1.3-1.el8.noarch gawk-4.2.1-4.el8.ppc64le gc-7.6.4-3.el8.ppc64le gcc-8.5.0-22.el8_10.ppc64le gcc-c++-8.5.0-22.el8_10.ppc64le gcc-plugin-annobin-8.5.0-22.el8_10.ppc64le gdb-headless-8.2-20.el8.ppc64le gdbm-1:1.18-2.el8.ppc64le gdbm-libs-1:1.18-2.el8.ppc64le ghc-srpm-macros-1.4.2-7.el8.noarch glib2-2.56.4-162.el8.ppc64le glibc-2.28-251.el8_10.2.ppc64le glibc-all-langpacks-2.28-251.el8_10.2.ppc64le glibc-common-2.28-251.el8_10.2.ppc64le glibc-devel-2.28-251.el8_10.2.ppc64le glibc-gconv-extra-2.28-251.el8_10.2.ppc64le glibc-headers-2.28-251.el8_10.2.ppc64le gmp-1:6.1.2-11.el8.ppc64le gnupg2-2.2.20-3.el8_6.ppc64le gnutls-3.6.16-8.el8_9.3.ppc64le go-srpm-macros-2-17.el8.noarch grep-3.1-6.el8.ppc64le guile-5:2.0.14-7.el8.ppc64le gzip-1.9-13.el8_5.ppc64le ima-evm-utils-1.3.2-12.el8.ppc64le info-6.5-7.el8.ppc64le isl-0.16.1-6.el8.ppc64le kernel-headers-4.18.0-553.5.1.el8_10.ppc64le keyutils-libs-1.5.10-9.el8.ppc64le krb5-libs-1.18.2-27.el8_10.ppc64le libacl-2.2.53-3.el8.ppc64le libarchive-3.3.3-5.el8.ppc64le libasan-8.5.0-22.el8_10.ppc64le libassuan-2.5.1-3.el8.ppc64le libatomic-8.5.0-22.el8_10.ppc64le libatomic_ops-7.6.2-3.el8.ppc64le libattr-2.4.48-3.el8.ppc64le libbabeltrace-1.5.4-4.el8.ppc64le libblkid-2.32.1-46.el8.ppc64le libcap-2.48-6.el8_9.ppc64le libcap-ng-0.7.11-1.el8.ppc64le libcom_err-1.45.6-5.el8.ppc64le libcurl-7.61.1-34.el8.ppc64le libdb-5.3.28-42.el8_4.ppc64le libdb-utils-5.3.28-42.el8_4.ppc64le libfdisk-2.32.1-46.el8.ppc64le libffi-3.1-24.el8.ppc64le libgcc-8.5.0-22.el8_10.ppc64le libgcrypt-1.8.5-7.el8_6.ppc64le libgomp-8.5.0-22.el8_10.ppc64le libgpg-error-1.31-1.el8.ppc64le libidn2-2.2.0-1.el8.ppc64le libksba-1.3.5-9.el8_7.ppc64le libmount-2.32.1-46.el8.ppc64le libmpc-1.1.0-9.1.el8.ppc64le libnghttp2-1.33.0-5.el8_9.ppc64le libnsl2-1.2.0-2.20180605git4a062cf.el8.ppc64le libpkgconf-1.4.2-1.el8.ppc64le libpsl-0.20.2-6.el8.ppc64le libpwquality-1.4.4-6.el8.ppc64le librtas-2.0.2-1.el8.ppc64le libselinux-2.9-8.el8.ppc64le libsemanage-2.9-9.el8_6.ppc64le libsepol-2.9-3.el8.ppc64le libsigsegv-2.11-5.el8.ppc64le libsmartcols-2.32.1-46.el8.ppc64le libssh-0.9.6-14.el8.ppc64le libssh-config-0.9.6-14.el8.noarch libstdc++-8.5.0-22.el8_10.ppc64le libstdc++-devel-8.5.0-22.el8_10.ppc64le libtasn1-4.13-4.el8_7.ppc64le libtirpc-1.1.4-10.el8.ppc64le libtool-ltdl-2.4.6-25.el8.ppc64le libubsan-8.5.0-22.el8_10.ppc64le libunistring-0.9.9-3.el8.ppc64le libusbx-1.0.23-4.el8.ppc64le libutempter-1.1.6-14.el8.ppc64le libuuid-2.32.1-46.el8.ppc64le libverto-0.3.2-2.el8.ppc64le libxcrypt-4.1.1-6.el8.ppc64le libxcrypt-devel-4.1.1-6.el8.ppc64le libxml2-2.9.7-18.el8_10.1.ppc64le libzstd-1.4.4-1.el8.ppc64le lua-libs-5.3.4-12.el8.ppc64le lua-srpm-macros-1-13.el8.noarch lz4-libs-1.8.3-3.el8_4.ppc64le make-1:4.2.1-11.el8.ppc64le mpfr-3.1.6-1.el8.ppc64le ncurses-6.1-10.20180224.el8.ppc64le ncurses-base-6.1-10.20180224.el8.noarch ncurses-libs-6.1-10.20180224.el8.ppc64le nettle-3.4.1-7.el8.ppc64le npth-1.5-4.el8.ppc64le ocaml-srpm-macros-5-4.el8.noarch openblas-srpm-macros-2-2.el8.noarch openldap-2.4.46-18.el8.ppc64le openssl-libs-1:1.1.1k-12.el8_9.ppc64le p11-kit-0.23.22-2.el8.ppc64le p11-kit-trust-0.23.22-2.el8.ppc64le pam-1.3.1-33.el8.ppc64le patch-2.7.6-11.el8.ppc64le pcre-8.42-6.el8.ppc64le pcre2-10.32-3.el8_6.ppc64le perl-srpm-macros-1-25.el8.noarch pkgconf-1.4.2-1.el8.ppc64le pkgconf-m4-1.4.2-1.el8.noarch pkgconf-pkg-config-1.4.2-1.el8.ppc64le platform-python-3.6.8-62.el8_10.ppc64le platform-python-setuptools-39.2.0-7.el8.noarch popt-1.18-1.el8.ppc64le publicsuffix-list-dafsa-20180723-1.el8.noarch python-rpm-macros-3-45.el8.noarch python-srpm-macros-3-45.el8.noarch python3-libs-3.6.8-62.el8_10.ppc64le python3-pip-wheel-9.0.3-24.el8.noarch python3-rpm-macros-3-45.el8.noarch python3-setuptools-wheel-39.2.0-7.el8.noarch qt5-srpm-macros-5.15.3-1.el8.noarch readline-7.0-10.el8.ppc64le redhat-release-8.10-0.2.el8.ppc64le redhat-rpm-config-131-1.el8.noarch rpm-4.14.3-31.el8.ppc64le rpm-build-4.14.3-31.el8.ppc64le rpm-build-libs-4.14.3-31.el8.ppc64le rpm-libs-4.14.3-31.el8.ppc64le rust-srpm-macros-5-2.el8.noarch sed-4.5-5.el8.ppc64le setup-2.12.2-9.el8.noarch shadow-utils-2:4.6-22.el8.ppc64le sqlite-libs-3.26.0-19.el8_9.ppc64le systemd-libs-239-82.el8.ppc64le tar-2:1.30-9.el8.ppc64le tpm2-tss-2.3.2-6.el8.ppc64le tzdata-2024a-1.el8.noarch unzip-6.0-46.el8.ppc64le util-linux-2.32.1-46.el8.ppc64le which-2.21-20.el8.ppc64le xz-5.2.4-4.el8_6.ppc64le xz-libs-5.2.4-4.el8_6.ppc64le zip-3.0-23.el8.ppc64le zlib-1.2.11-25.el8.ppc64le zstd-1.4.4-1.el8.ppc64le Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: annobin-11.13-2.el8.ppc64le ansible-srpm-macros-1-12.el8.noarch audit-libs-3.1.2-1.el8.ppc64le basesystem-11-5.el8.noarch bash-4.4.20-5.el8.ppc64le binutils-2.30-123.el8.ppc64le brotli-1.0.6-3.el8.ppc64le bzip2-1.0.6-26.el8.ppc64le bzip2-libs-1.0.6-26.el8.ppc64le ca-certificates-2023.2.60_v7.0.306-80.0.el8_8.noarch chkconfig-1.19.2-1.el8.ppc64le coreutils-8.30-15.el8.ppc64le coreutils-common-8.30-15.el8.ppc64le cpio-2.12-11.el8.ppc64le cpp-8.5.0-22.el8_10.ppc64le cracklib-2.9.6-15.el8.ppc64le cracklib-dicts-2.9.6-15.el8.ppc64le crypto-policies-20230731-1.git3177e06.el8.noarch curl-7.61.1-34.el8.ppc64le cyrus-sasl-lib-2.1.27-6.el8_5.ppc64le diffutils-3.6-6.el8.ppc64le dwz-0.12-10.el8.ppc64le efi-srpm-macros-3-3.el8.noarch elfutils-0.190-2.el8.ppc64le elfutils-default-yama-scope-0.190-2.el8.noarch elfutils-libelf-0.190-2.el8.ppc64le elfutils-libs-0.190-2.el8.ppc64le epel-rpm-macros-8-41.noarch expat-2.2.5-13.el8_10.ppc64le file-5.33-26.el8.ppc64le file-libs-5.33-26.el8.ppc64le filesystem-3.8-6.el8.ppc64le findutils-4.6.0-22.el8.ppc64le fpc-srpm-macros-1.3-1.el8.noarch gawk-4.2.1-4.el8.ppc64le gc-7.6.4-3.el8.ppc64le gcc-8.5.0-22.el8_10.ppc64le gcc-c++-8.5.0-22.el8_10.ppc64le gcc-plugin-annobin-8.5.0-22.el8_10.ppc64le gdb-headless-8.2-20.el8.ppc64le gdbm-1.18-2.el8.ppc64le gdbm-libs-1.18-2.el8.ppc64le ghc-srpm-macros-1.4.2-7.el8.noarch glib2-2.56.4-162.el8.ppc64le glibc-2.28-251.el8_10.2.ppc64le glibc-all-langpacks-2.28-251.el8_10.2.ppc64le glibc-common-2.28-251.el8_10.2.ppc64le glibc-devel-2.28-251.el8_10.2.ppc64le glibc-gconv-extra-2.28-251.el8_10.2.ppc64le glibc-headers-2.28-251.el8_10.2.ppc64le gmp-6.1.2-11.el8.ppc64le gnupg2-2.2.20-3.el8_6.ppc64le gnutls-3.6.16-8.el8_9.3.ppc64le go-srpm-macros-2-17.el8.noarch gpg-pubkey-2f86d6a1-5cf7cefb gpg-pubkey-2fa658e0-45700c69 gpg-pubkey-fd431d51-4ae0493b grep-3.1-6.el8.ppc64le guile-2.0.14-7.el8.ppc64le gzip-1.9-13.el8_5.ppc64le ima-evm-utils-1.3.2-12.el8.ppc64le info-6.5-7.el8.ppc64le isl-0.16.1-6.el8.ppc64le kernel-headers-4.18.0-553.5.1.el8_10.ppc64le keyutils-libs-1.5.10-9.el8.ppc64le krb5-libs-1.18.2-27.el8_10.ppc64le libacl-2.2.53-3.el8.ppc64le libarchive-3.3.3-5.el8.ppc64le libasan-8.5.0-22.el8_10.ppc64le libassuan-2.5.1-3.el8.ppc64le libatomic-8.5.0-22.el8_10.ppc64le libatomic_ops-7.6.2-3.el8.ppc64le libattr-2.4.48-3.el8.ppc64le libbabeltrace-1.5.4-4.el8.ppc64le libblkid-2.32.1-46.el8.ppc64le libcap-2.48-6.el8_9.ppc64le libcap-ng-0.7.11-1.el8.ppc64le libcom_err-1.45.6-5.el8.ppc64le libcurl-7.61.1-34.el8.ppc64le libdb-5.3.28-42.el8_4.ppc64le libdb-utils-5.3.28-42.el8_4.ppc64le libfdisk-2.32.1-46.el8.ppc64le libffi-3.1-24.el8.ppc64le libgcc-8.5.0-22.el8_10.ppc64le libgcrypt-1.8.5-7.el8_6.ppc64le libgomp-8.5.0-22.el8_10.ppc64le libgpg-error-1.31-1.el8.ppc64le libidn2-2.2.0-1.el8.ppc64le libksba-1.3.5-9.el8_7.ppc64le libmount-2.32.1-46.el8.ppc64le libmpc-1.1.0-9.1.el8.ppc64le libnghttp2-1.33.0-5.el8_9.ppc64le libnsl2-1.2.0-2.20180605git4a062cf.el8.ppc64le libpkgconf-1.4.2-1.el8.ppc64le libpsl-0.20.2-6.el8.ppc64le libpwquality-1.4.4-6.el8.ppc64le librtas-2.0.2-1.el8.ppc64le libselinux-2.9-8.el8.ppc64le libsemanage-2.9-9.el8_6.ppc64le libsepol-2.9-3.el8.ppc64le libsigsegv-2.11-5.el8.ppc64le libsmartcols-2.32.1-46.el8.ppc64le libssh-0.9.6-14.el8.ppc64le libssh-config-0.9.6-14.el8.noarch libstdc++-8.5.0-22.el8_10.ppc64le libstdc++-devel-8.5.0-22.el8_10.ppc64le libtasn1-4.13-4.el8_7.ppc64le libtirpc-1.1.4-10.el8.ppc64le libtool-ltdl-2.4.6-25.el8.ppc64le libubsan-8.5.0-22.el8_10.ppc64le libunistring-0.9.9-3.el8.ppc64le libusbx-1.0.23-4.el8.ppc64le libutempter-1.1.6-14.el8.ppc64le libuuid-2.32.1-46.el8.ppc64le libverto-0.3.2-2.el8.ppc64le libxcrypt-4.1.1-6.el8.ppc64le libxcrypt-devel-4.1.1-6.el8.ppc64le libxml2-2.9.7-18.el8_10.1.ppc64le libzstd-1.4.4-1.el8.ppc64le lua-libs-5.3.4-12.el8.ppc64le lua-srpm-macros-1-13.el8.noarch lz4-libs-1.8.3-3.el8_4.ppc64le make-4.2.1-11.el8.ppc64le mpfr-3.1.6-1.el8.ppc64le ncurses-6.1-10.20180224.el8.ppc64le ncurses-base-6.1-10.20180224.el8.noarch ncurses-libs-6.1-10.20180224.el8.ppc64le nettle-3.4.1-7.el8.ppc64le npth-1.5-4.el8.ppc64le ocaml-srpm-macros-5-4.el8.noarch openblas-srpm-macros-2-2.el8.noarch openldap-2.4.46-18.el8.ppc64le openssl-libs-1.1.1k-12.el8_9.ppc64le p11-kit-0.23.22-2.el8.ppc64le p11-kit-trust-0.23.22-2.el8.ppc64le pam-1.3.1-33.el8.ppc64le patch-2.7.6-11.el8.ppc64le pcre-8.42-6.el8.ppc64le pcre2-10.32-3.el8_6.ppc64le perl-srpm-macros-1-25.el8.noarch pkgconf-1.4.2-1.el8.ppc64le pkgconf-m4-1.4.2-1.el8.noarch pkgconf-pkg-config-1.4.2-1.el8.ppc64le platform-python-3.6.8-62.el8_10.ppc64le platform-python-setuptools-39.2.0-7.el8.noarch popt-1.18-1.el8.ppc64le publicsuffix-list-dafsa-20180723-1.el8.noarch python-rpm-macros-3-45.el8.noarch python-srpm-macros-3-45.el8.noarch python3-libs-3.6.8-62.el8_10.ppc64le python3-pip-wheel-9.0.3-24.el8.noarch python3-rpm-macros-3-45.el8.noarch python3-setuptools-wheel-39.2.0-7.el8.noarch qt5-srpm-macros-5.15.3-1.el8.noarch readline-7.0-10.el8.ppc64le redhat-release-8.10-0.2.el8.ppc64le redhat-rpm-config-131-1.el8.noarch rpm-4.14.3-31.el8.ppc64le rpm-build-4.14.3-31.el8.ppc64le rpm-build-libs-4.14.3-31.el8.ppc64le rpm-libs-4.14.3-31.el8.ppc64le rust-srpm-macros-5-2.el8.noarch sed-4.5-5.el8.ppc64le setup-2.12.2-9.el8.noarch shadow-utils-4.6-22.el8.ppc64le sqlite-libs-3.26.0-19.el8_9.ppc64le systemd-libs-239-82.el8.ppc64le tar-1.30-9.el8.ppc64le tpm2-tss-2.3.2-6.el8.ppc64le tzdata-2024a-1.el8.noarch unzip-6.0-46.el8.ppc64le util-linux-2.32.1-46.el8.ppc64le which-2.21-20.el8.ppc64le xz-5.2.4-4.el8_6.ppc64le xz-libs-5.2.4-4.el8_6.ppc64le zip-3.0-23.el8.ppc64le zlib-1.2.11-25.el8.ppc64le zstd-1.4.4-1.el8.ppc64le Start: buildsrpm Start: rpmbuild -bs sh: /usr/bin/python3.6: No such file or directory Building target platforms: ppc64le Building for target ppc64le Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log/dnf.log /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log/dnf.librepo.log /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log/dnf.rpm.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-7728vkpe/cocotb/cocotb.spec) Config(child) 2 minutes 57 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm) Config(rhel+epel-8-ppc64le) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/rhel+epel-8-ppc64le-bootstrap-1718153942.733572/root. INFO: reusing tmpfs at /var/lib/mock/rhel+epel-8-ppc64le-bootstrap-1718153942.733572/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.14.3-31.el8.ppc64le python3-dnf-4.7.0-20.el8.noarch python3-dnf-plugins-core-4.0.21-25.el8.noarch yum-4.7.0-20.el8.noarch Finish: chroot init Start: build phase for cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm Start: build setup for cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm sh: /usr/bin/python3.6: No such file or directory Building target platforms: ppc64le Building for target ppc64le Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 22 kB/s | 2.1 kB 00:00 Additional repo copr_rezso_ML 16 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_CUDA 25 kB/s | 1.8 kB 00:00 Additional repo http_developer_download_nvidia_ 81 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 78 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 79 kB/s | 3.5 kB 00:00 Red Hat Enterprise Linux - BaseOS 21 kB/s | 4.1 kB 00:00 Red Hat Enterprise Linux - AppStream 16 kB/s | 4.5 kB 00:00 Red Hat Enterprise Linux - CodeReady Linux Buil 19 kB/s | 4.5 kB 00:00 Extra Packages for Enterprise Linux 8 - ppc64le 631 kB/s | 27 kB 00:00 Modular dependency problems: Problem 1: nothing provides requested module(nvidia-driver:latest-dkms:20240611080226) Problem 2: nothing provides requested module(nvidia-driver:latest-dkms:20240606121350) Package gcc-c++-8.5.0-22.el8_10.ppc64le is already installed. Package make-1:4.2.1-11.el8.ppc64le is already installed. Dependencies resolved. ================================================================================================ Package Arch Version Repository Size ================================================================================================ Installing: git ppc64le 2.43.0-1.el8 rhel-appstream 92 k python3-setuptools noarch 39.2.0-7.el8 rhel-baseos 163 k python36-devel ppc64le 3.6.8-39.module+el8.10.0+20784+edafcd43 rhel-appstream 17 k Installing dependencies: emacs-filesystem noarch 1:26.1-11.el8 rhel-baseos 70 k git-core ppc64le 2.43.0-1.el8 rhel-appstream 13 M git-core-doc noarch 2.43.0-1.el8 rhel-appstream 3.1 M groff-base ppc64le 1.22.3-18.el8 rhel-baseos 1.0 M less ppc64le 530-2.el8_9 rhel-baseos 175 k libedit ppc64le 3.1-23.20170329cvs.el8 rhel-baseos 110 k openssh ppc64le 8.0p1-24.el8 rhel-baseos 524 k openssh-clients ppc64le 8.0p1-24.el8 rhel-baseos 690 k openssl ppc64le 1:1.1.1k-12.el8_9 rhel-baseos 714 k perl-Carp noarch 1.42-396.el8 rhel-baseos 30 k perl-Data-Dumper ppc64le 2.167-399.el8 rhel-baseos 59 k perl-Digest noarch 1.17-395.el8 rhel-baseos 27 k perl-Digest-MD5 ppc64le 2.55-396.el8 rhel-baseos 38 k perl-Encode ppc64le 4:2.97-3.el8 rhel-baseos 1.5 M perl-Errno ppc64le 1.28-422.el8 rhel-baseos 77 k perl-Error noarch 1:0.17025-2.el8 rhel-appstream 46 k perl-Exporter noarch 5.72-396.el8 rhel-baseos 34 k perl-File-Path noarch 2.15-2.el8 rhel-baseos 38 k perl-File-Temp noarch 0.230.600-1.el8 rhel-baseos 63 k perl-Getopt-Long noarch 1:2.50-4.el8 rhel-baseos 63 k perl-Git noarch 2.43.0-1.el8 rhel-appstream 79 k perl-HTTP-Tiny noarch 0.074-3.el8 rhel-baseos 59 k perl-IO ppc64le 1.38-422.el8 rhel-baseos 143 k perl-IO-Socket-IP noarch 0.39-5.el8 rhel-baseos 47 k perl-IO-Socket-SSL noarch 2.066-4.module+el8.3.0+6446+594cad75 rhel-appstream 298 k perl-MIME-Base64 ppc64le 3.15-396.el8 rhel-baseos 31 k perl-Mozilla-CA noarch 20160104-7.module+el8.3.0+6498+9eecfe51 rhel-appstream 15 k perl-Net-SSLeay ppc64le 1.88-2.module+el8.6.0+13392+f0897f98 rhel-appstream 382 k perl-PathTools ppc64le 3.74-1.el8 rhel-baseos 91 k perl-Pod-Escapes noarch 1:1.07-395.el8 rhel-baseos 20 k perl-Pod-Perldoc noarch 3.28-396.el8 rhel-baseos 88 k perl-Pod-Simple noarch 1:3.35-395.el8 rhel-baseos 213 k perl-Pod-Usage noarch 4:1.69-395.el8 rhel-baseos 34 k perl-Scalar-List-Utils ppc64le 3:1.49-2.el8 rhel-baseos 71 k perl-Socket ppc64le 4:2.027-3.el8 rhel-baseos 59 k perl-Storable ppc64le 1:3.11-3.el8 rhel-baseos 100 k perl-Term-ANSIColor noarch 4.06-396.el8 rhel-baseos 46 k perl-Term-Cap noarch 1.17-395.el8 rhel-baseos 23 k perl-TermReadKey ppc64le 2.37-7.el8 rhel-appstream 42 k perl-Text-ParseWords noarch 3.30-395.el8 rhel-baseos 18 k perl-Text-Tabs+Wrap noarch 2013.0523-395.el8 rhel-baseos 24 k perl-Time-Local noarch 1:1.280-1.el8 rhel-baseos 34 k perl-URI noarch 1.73-3.el8 rhel-baseos 116 k perl-Unicode-Normalize ppc64le 1.25-396.el8 rhel-baseos 80 k perl-constant noarch 1.33-396.el8 rhel-baseos 25 k perl-interpreter ppc64le 4:5.26.3-422.el8 rhel-baseos 6.3 M perl-libnet noarch 3.11-3.el8 rhel-baseos 121 k perl-libs ppc64le 4:5.26.3-422.el8 rhel-baseos 1.6 M perl-macros ppc64le 4:5.26.3-422.el8 rhel-baseos 73 k perl-parent noarch 1:0.237-1.el8 rhel-baseos 20 k perl-podlators noarch 4.11-1.el8 rhel-baseos 118 k perl-threads ppc64le 1:2.21-2.el8 rhel-baseos 62 k perl-threads-shared ppc64le 1.58-2.el8 rhel-baseos 49 k platform-python-devel ppc64le 3.6.8-62.el8_10 rhel-appstream 241 k platform-python-pip noarch 9.0.3-24.el8 rhel-baseos 1.6 M python3-pip noarch 9.0.3-24.el8 rhel-appstream 20 k python3-rpm-generators noarch 5-8.el8 rhel-appstream 25 k python36 ppc64le 3.6.8-39.module+el8.10.0+20784+edafcd43 rhel-appstream 20 k python36-rpm-macros noarch 3.6.8-39.module+el8.10.0+20784+edafcd43 rhel-appstream 16 k Enabling module streams: perl 5.26 perl-IO-Socket-SSL 2.066 perl-libwww-perl 6.34 python36 3.6 Transaction Summary ================================================================================================ Install 62 Packages Total download size: 33 M Installed size: 113 M Downloading Packages: (1/62): perl-Data-Dumper-2.167-399.el8.ppc64le. 269 kB/s | 59 kB 00:00 (2/62): libedit-3.1-23.20170329cvs.el8.ppc64le. 330 kB/s | 110 kB 00:00 (3/62): groff-base-1.22.3-18.el8.ppc64le.rpm 2.4 MB/s | 1.0 MB 00:00 (4/62): perl-MIME-Base64-3.15-396.el8.ppc64le.r 207 kB/s | 31 kB 00:00 (5/62): perl-Encode-2.97-3.el8.ppc64le.rpm 5.1 MB/s | 1.5 MB 00:00 (6/62): perl-PathTools-3.74-1.el8.ppc64le.rpm 750 kB/s | 91 kB 00:00 (7/62): perl-Scalar-List-Utils-1.49-2.el8.ppc64 621 kB/s | 71 kB 00:00 (8/62): perl-Storable-3.11-3.el8.ppc64le.rpm 546 kB/s | 100 kB 00:00 (9/62): perl-Unicode-Normalize-1.25-396.el8.ppc 519 kB/s | 80 kB 00:00 (10/62): perl-threads-2.21-2.el8.ppc64le.rpm 501 kB/s | 62 kB 00:00 (11/62): perl-threads-shared-1.58-2.el8.ppc64le 426 kB/s | 49 kB 00:00 (12/62): perl-Carp-1.42-396.el8.noarch.rpm 288 kB/s | 30 kB 00:00 (13/62): perl-Exporter-5.72-396.el8.noarch.rpm 212 kB/s | 34 kB 00:00 (14/62): perl-File-Path-2.15-2.el8.noarch.rpm 202 kB/s | 38 kB 00:00 (15/62): perl-Pod-Escapes-1.07-395.el8.noarch.r 204 kB/s | 20 kB 00:00 (16/62): perl-File-Temp-0.230.600-1.el8.noarch. 207 kB/s | 63 kB 00:00 (17/62): perl-Pod-Perldoc-3.28-396.el8.noarch.r 795 kB/s | 88 kB 00:00 (18/62): perl-Getopt-Long-2.50-4.el8.noarch.rpm 174 kB/s | 63 kB 00:00 (19/62): perl-Pod-Simple-3.35-395.el8.noarch.rp 1.3 MB/s | 213 kB 00:00 (20/62): perl-Pod-Usage-1.69-395.el8.noarch.rpm 330 kB/s | 34 kB 00:00 (21/62): perl-Socket-2.027-3.el8.ppc64le.rpm 400 kB/s | 59 kB 00:00 (22/62): perl-Term-ANSIColor-4.06-396.el8.noarc 309 kB/s | 46 kB 00:00 (23/62): perl-Term-Cap-1.17-395.el8.noarch.rpm 149 kB/s | 23 kB 00:00 (24/62): perl-Text-ParseWords-3.30-395.el8.noar 106 kB/s | 18 kB 00:00 (25/62): perl-Text-Tabs+Wrap-2013.0523-395.el8. 164 kB/s | 24 kB 00:00 (26/62): perl-Time-Local-1.280-1.el8.noarch.rpm 280 kB/s | 34 kB 00:00 (27/62): perl-parent-0.237-1.el8.noarch.rpm 191 kB/s | 20 kB 00:00 (28/62): perl-constant-1.33-396.el8.noarch.rpm 233 kB/s | 25 kB 00:00 (29/62): perl-podlators-4.11-1.el8.noarch.rpm 1.0 MB/s | 118 kB 00:00 (30/62): perl-IO-1.38-422.el8.ppc64le.rpm 1.0 MB/s | 143 kB 00:00 (31/62): perl-libs-5.26.3-422.el8.ppc64le.rpm 9.0 MB/s | 1.6 MB 00:00 (32/62): perl-macros-5.26.3-422.el8.ppc64le.rpm 650 kB/s | 73 kB 00:00 (33/62): emacs-filesystem-26.1-11.el8.noarch.rp 391 kB/s | 70 kB 00:00 (34/62): perl-Errno-1.28-422.el8.ppc64le.rpm 377 kB/s | 77 kB 00:00 (35/62): perl-interpreter-5.26.3-422.el8.ppc64l 13 MB/s | 6.3 MB 00:00 (36/62): perl-URI-1.73-3.el8.noarch.rpm 690 kB/s | 116 kB 00:00 (37/62): less-530-2.el8_9.ppc64le.rpm 1.4 MB/s | 175 kB 00:00 (38/62): python3-setuptools-39.2.0-7.el8.noarch 872 kB/s | 163 kB 00:00 (39/62): openssl-1.1.1k-12.el8_9.ppc64le.rpm 4.3 MB/s | 714 kB 00:00 (40/62): perl-Digest-MD5-2.55-396.el8.ppc64le.r 260 kB/s | 38 kB 00:00 (41/62): perl-Digest-1.17-395.el8.noarch.rpm 156 kB/s | 27 kB 00:00 (42/62): perl-IO-Socket-IP-0.39-5.el8.noarch.rp 426 kB/s | 47 kB 00:00 (43/62): perl-libnet-3.11-3.el8.noarch.rpm 1.2 MB/s | 121 kB 00:00 (44/62): openssh-8.0p1-24.el8.ppc64le.rpm 3.7 MB/s | 524 kB 00:00 (45/62): perl-HTTP-Tiny-0.074-3.el8.noarch.rpm 340 kB/s | 59 kB 00:00 (46/62): platform-python-pip-9.0.3-24.el8.noarc 9.3 MB/s | 1.6 MB 00:00 (47/62): openssh-clients-8.0p1-24.el8.ppc64le.r 1.9 MB/s | 690 kB 00:00 (48/62): perl-TermReadKey-2.37-7.el8.ppc64le.rp 399 kB/s | 42 kB 00:00 (49/62): perl-Error-0.17025-2.el8.noarch.rpm 350 kB/s | 46 kB 00:00 (50/62): perl-IO-Socket-SSL-2.066-4.module+el8. 2.1 MB/s | 298 kB 00:00 (51/62): perl-Mozilla-CA-20160104-7.module+el8. 73 kB/s | 15 kB 00:00 (52/62): perl-Net-SSLeay-1.88-2.module+el8.6.0+ 2.4 MB/s | 382 kB 00:00 (53/62): python3-rpm-generators-5-8.el8.noarch. 244 kB/s | 25 kB 00:00 (54/62): python36-devel-3.6.8-39.module+el8.10. 145 kB/s | 17 kB 00:00 (55/62): python36-rpm-macros-3.6.8-39.module+el 155 kB/s | 16 kB 00:00 (56/62): python36-3.6.8-39.module+el8.10.0+2078 128 kB/s | 20 kB 00:00 (57/62): git-2.43.0-1.el8.ppc64le.rpm 619 kB/s | 92 kB 00:00 (58/62): git-core-doc-2.43.0-1.el8.noarch.rpm 16 MB/s | 3.1 MB 00:00 (59/62): perl-Git-2.43.0-1.el8.noarch.rpm 537 kB/s | 79 kB 00:00 (60/62): git-core-2.43.0-1.el8.ppc64le.rpm 28 MB/s | 13 MB 00:00 (61/62): platform-python-devel-3.6.8-62.el8_10. 1.5 MB/s | 241 kB 00:00 (62/62): python3-pip-9.0.3-24.el8.noarch.rpm 60 kB/s | 20 kB 00:00 -------------------------------------------------------------------------------- Total 8.9 MB/s | 33 MB 00:03 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : python3-rpm-generators-5-8.el8.noarch 1/62 Installing : platform-python-devel-3.6.8-62.el8_10.ppc64le 2/62 Installing : platform-python-pip-9.0.3-24.el8.noarch 3/62 Running scriptlet: openssh-8.0p1-24.el8.ppc64le 4/62 Installing : openssh-8.0p1-24.el8.ppc64le 4/62 Installing : openssl-1:1.1.1k-12.el8_9.ppc64le 5/62 Installing : less-530-2.el8_9.ppc64le 6/62 Installing : python3-setuptools-39.2.0-7.el8.noarch 7/62 Installing : python3-pip-9.0.3-24.el8.noarch 8/62 Installing : python36-3.6.8-39.module+el8.10.0+20784+edafcd43.p 9/62 Running scriptlet: python36-3.6.8-39.module+el8.10.0+20784+edafcd43.p 9/62 Installing : emacs-filesystem-1:26.1-11.el8.noarch 10/62 Installing : libedit-3.1-23.20170329cvs.el8.ppc64le 11/62 Installing : openssh-clients-8.0p1-24.el8.ppc64le 12/62 Installing : git-core-2.43.0-1.el8.ppc64le 13/62 Installing : git-core-doc-2.43.0-1.el8.noarch 14/62 Installing : groff-base-1.22.3-18.el8.ppc64le 15/62 Installing : perl-Digest-1.17-395.el8.noarch 16/62 Installing : perl-Digest-MD5-2.55-396.el8.ppc64le 17/62 Installing : perl-Data-Dumper-2.167-399.el8.ppc64le 18/62 Installing : perl-libnet-3.11-3.el8.noarch 19/62 Installing : perl-URI-1.73-3.el8.noarch 20/62 Installing : perl-Pod-Escapes-1:1.07-395.el8.noarch 21/62 Installing : perl-Time-Local-1:1.280-1.el8.noarch 22/62 Installing : perl-IO-Socket-IP-0.39-5.el8.noarch 23/62 Installing : perl-Mozilla-CA-20160104-7.module+el8.3.0+6498+9ee 24/62 Installing : perl-Net-SSLeay-1.88-2.module+el8.6.0+13392+f0897f 25/62 Installing : perl-IO-Socket-SSL-2.066-4.module+el8.3.0+6446+594 26/62 Installing : perl-Term-ANSIColor-4.06-396.el8.noarch 27/62 Installing : perl-Term-Cap-1.17-395.el8.noarch 28/62 Installing : perl-File-Temp-0.230.600-1.el8.noarch 29/62 Installing : perl-HTTP-Tiny-0.074-3.el8.noarch 30/62 Installing : perl-Pod-Simple-1:3.35-395.el8.noarch 31/62 Installing : perl-podlators-4.11-1.el8.noarch 32/62 Installing : perl-Pod-Perldoc-3.28-396.el8.noarch 33/62 Installing : perl-Text-ParseWords-3.30-395.el8.noarch 34/62 Installing : perl-Pod-Usage-4:1.69-395.el8.noarch 35/62 Installing : perl-MIME-Base64-3.15-396.el8.ppc64le 36/62 Installing : perl-Storable-1:3.11-3.el8.ppc64le 37/62 Installing : perl-Getopt-Long-1:2.50-4.el8.noarch 38/62 Installing : perl-Socket-4:2.027-3.el8.ppc64le 39/62 Installing : perl-Errno-1.28-422.el8.ppc64le 40/62 Installing : perl-Encode-4:2.97-3.el8.ppc64le 41/62 Installing : perl-Scalar-List-Utils-3:1.49-2.el8.ppc64le 42/62 Installing : perl-Carp-1.42-396.el8.noarch 43/62 Installing : perl-Exporter-5.72-396.el8.noarch 44/62 Installing : perl-libs-4:5.26.3-422.el8.ppc64le 45/62 Installing : perl-parent-1:0.237-1.el8.noarch 46/62 Installing : perl-macros-4:5.26.3-422.el8.ppc64le 47/62 Installing : perl-Unicode-Normalize-1.25-396.el8.ppc64le 48/62 Installing : perl-threads-shared-1.58-2.el8.ppc64le 49/62 Installing : perl-threads-1:2.21-2.el8.ppc64le 50/62 Installing : perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch 51/62 Installing : perl-File-Path-2.15-2.el8.noarch 52/62 Installing : perl-PathTools-3.74-1.el8.ppc64le 53/62 Installing : perl-constant-1.33-396.el8.noarch 54/62 Installing : perl-IO-1.38-422.el8.ppc64le 55/62 Installing : perl-interpreter-4:5.26.3-422.el8.ppc64le 56/62 Installing : perl-TermReadKey-2.37-7.el8.ppc64le 57/62 Installing : perl-Error-1:0.17025-2.el8.noarch 58/62 Installing : perl-Git-2.43.0-1.el8.noarch 59/62 Installing : git-2.43.0-1.el8.ppc64le 60/62 Installing : python36-devel-3.6.8-39.module+el8.10.0+20784+edaf 61/62 Running scriptlet: python36-devel-3.6.8-39.module+el8.10.0+20784+edaf 61/62 Installing : python36-rpm-macros-3.6.8-39.module+el8.10.0+20784 62/62 Running scriptlet: python36-rpm-macros-3.6.8-39.module+el8.10.0+20784 62/62 Verifying : groff-base-1.22.3-18.el8.ppc64le 1/62 Verifying : libedit-3.1-23.20170329cvs.el8.ppc64le 2/62 Verifying : perl-Data-Dumper-2.167-399.el8.ppc64le 3/62 Verifying : perl-Encode-4:2.97-3.el8.ppc64le 4/62 Verifying : perl-MIME-Base64-3.15-396.el8.ppc64le 5/62 Verifying : perl-PathTools-3.74-1.el8.ppc64le 6/62 Verifying : perl-Scalar-List-Utils-3:1.49-2.el8.ppc64le 7/62 Verifying : perl-Storable-1:3.11-3.el8.ppc64le 8/62 Verifying : perl-Unicode-Normalize-1.25-396.el8.ppc64le 9/62 Verifying : perl-threads-1:2.21-2.el8.ppc64le 10/62 Verifying : perl-threads-shared-1.58-2.el8.ppc64le 11/62 Verifying : perl-Carp-1.42-396.el8.noarch 12/62 Verifying : perl-Exporter-5.72-396.el8.noarch 13/62 Verifying : perl-File-Path-2.15-2.el8.noarch 14/62 Verifying : perl-File-Temp-0.230.600-1.el8.noarch 15/62 Verifying : perl-Getopt-Long-1:2.50-4.el8.noarch 16/62 Verifying : perl-Pod-Escapes-1:1.07-395.el8.noarch 17/62 Verifying : perl-Pod-Perldoc-3.28-396.el8.noarch 18/62 Verifying : perl-Pod-Simple-1:3.35-395.el8.noarch 19/62 Verifying : perl-Pod-Usage-4:1.69-395.el8.noarch 20/62 Verifying : perl-Socket-4:2.027-3.el8.ppc64le 21/62 Verifying : perl-Term-ANSIColor-4.06-396.el8.noarch 22/62 Verifying : perl-Term-Cap-1.17-395.el8.noarch 23/62 Verifying : perl-Text-ParseWords-3.30-395.el8.noarch 24/62 Verifying : perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch 25/62 Verifying : perl-Time-Local-1:1.280-1.el8.noarch 26/62 Verifying : perl-constant-1.33-396.el8.noarch 27/62 Verifying : perl-parent-1:0.237-1.el8.noarch 28/62 Verifying : perl-podlators-4.11-1.el8.noarch 29/62 Verifying : perl-IO-1.38-422.el8.ppc64le 30/62 Verifying : perl-interpreter-4:5.26.3-422.el8.ppc64le 31/62 Verifying : perl-libs-4:5.26.3-422.el8.ppc64le 32/62 Verifying : perl-macros-4:5.26.3-422.el8.ppc64le 33/62 Verifying : emacs-filesystem-1:26.1-11.el8.noarch 34/62 Verifying : perl-Errno-1.28-422.el8.ppc64le 35/62 Verifying : perl-URI-1.73-3.el8.noarch 36/62 Verifying : python3-setuptools-39.2.0-7.el8.noarch 37/62 Verifying : less-530-2.el8_9.ppc64le 38/62 Verifying : openssl-1:1.1.1k-12.el8_9.ppc64le 39/62 Verifying : perl-Digest-1.17-395.el8.noarch 40/62 Verifying : perl-Digest-MD5-2.55-396.el8.ppc64le 41/62 Verifying : perl-IO-Socket-IP-0.39-5.el8.noarch 42/62 Verifying : perl-libnet-3.11-3.el8.noarch 43/62 Verifying : openssh-8.0p1-24.el8.ppc64le 44/62 Verifying : openssh-clients-8.0p1-24.el8.ppc64le 45/62 Verifying : perl-HTTP-Tiny-0.074-3.el8.noarch 46/62 Verifying : platform-python-pip-9.0.3-24.el8.noarch 47/62 Verifying : perl-TermReadKey-2.37-7.el8.ppc64le 48/62 Verifying : perl-Error-1:0.17025-2.el8.noarch 49/62 Verifying : perl-Mozilla-CA-20160104-7.module+el8.3.0+6498+9ee 50/62 Verifying : perl-IO-Socket-SSL-2.066-4.module+el8.3.0+6446+594 51/62 Verifying : perl-Net-SSLeay-1.88-2.module+el8.6.0+13392+f0897f 52/62 Verifying : python3-rpm-generators-5-8.el8.noarch 53/62 Verifying : python36-3.6.8-39.module+el8.10.0+20784+edafcd43.p 54/62 Verifying : python36-devel-3.6.8-39.module+el8.10.0+20784+edaf 55/62 Verifying : python36-rpm-macros-3.6.8-39.module+el8.10.0+20784 56/62 Verifying : git-2.43.0-1.el8.ppc64le 57/62 Verifying : git-core-2.43.0-1.el8.ppc64le 58/62 Verifying : git-core-doc-2.43.0-1.el8.noarch 59/62 Verifying : perl-Git-2.43.0-1.el8.noarch 60/62 Verifying : python3-pip-9.0.3-24.el8.noarch 61/62 Verifying : platform-python-devel-3.6.8-62.el8_10.ppc64le 62/62 Installed products updated. Installed: emacs-filesystem-1:26.1-11.el8.noarch git-2.43.0-1.el8.ppc64le git-core-2.43.0-1.el8.ppc64le git-core-doc-2.43.0-1.el8.noarch groff-base-1.22.3-18.el8.ppc64le less-530-2.el8_9.ppc64le libedit-3.1-23.20170329cvs.el8.ppc64le openssh-8.0p1-24.el8.ppc64le openssh-clients-8.0p1-24.el8.ppc64le openssl-1:1.1.1k-12.el8_9.ppc64le perl-Carp-1.42-396.el8.noarch perl-Data-Dumper-2.167-399.el8.ppc64le perl-Digest-1.17-395.el8.noarch perl-Digest-MD5-2.55-396.el8.ppc64le perl-Encode-4:2.97-3.el8.ppc64le perl-Errno-1.28-422.el8.ppc64le perl-Error-1:0.17025-2.el8.noarch perl-Exporter-5.72-396.el8.noarch perl-File-Path-2.15-2.el8.noarch perl-File-Temp-0.230.600-1.el8.noarch perl-Getopt-Long-1:2.50-4.el8.noarch perl-Git-2.43.0-1.el8.noarch perl-HTTP-Tiny-0.074-3.el8.noarch perl-IO-1.38-422.el8.ppc64le perl-IO-Socket-IP-0.39-5.el8.noarch perl-IO-Socket-SSL-2.066-4.module+el8.3.0+6446+594cad75.noarch perl-MIME-Base64-3.15-396.el8.ppc64le perl-Mozilla-CA-20160104-7.module+el8.3.0+6498+9eecfe51.noarch perl-Net-SSLeay-1.88-2.module+el8.6.0+13392+f0897f98.ppc64le perl-PathTools-3.74-1.el8.ppc64le perl-Pod-Escapes-1:1.07-395.el8.noarch perl-Pod-Perldoc-3.28-396.el8.noarch perl-Pod-Simple-1:3.35-395.el8.noarch perl-Pod-Usage-4:1.69-395.el8.noarch perl-Scalar-List-Utils-3:1.49-2.el8.ppc64le perl-Socket-4:2.027-3.el8.ppc64le perl-Storable-1:3.11-3.el8.ppc64le perl-Term-ANSIColor-4.06-396.el8.noarch perl-Term-Cap-1.17-395.el8.noarch perl-TermReadKey-2.37-7.el8.ppc64le perl-Text-ParseWords-3.30-395.el8.noarch perl-Text-Tabs+Wrap-2013.0523-395.el8.noarch perl-Time-Local-1:1.280-1.el8.noarch perl-URI-1.73-3.el8.noarch perl-Unicode-Normalize-1.25-396.el8.ppc64le perl-constant-1.33-396.el8.noarch perl-interpreter-4:5.26.3-422.el8.ppc64le perl-libnet-3.11-3.el8.noarch perl-libs-4:5.26.3-422.el8.ppc64le perl-macros-4:5.26.3-422.el8.ppc64le perl-parent-1:0.237-1.el8.noarch perl-podlators-4.11-1.el8.noarch perl-threads-1:2.21-2.el8.ppc64le perl-threads-shared-1.58-2.el8.ppc64le platform-python-devel-3.6.8-62.el8_10.ppc64le platform-python-pip-9.0.3-24.el8.noarch python3-pip-9.0.3-24.el8.noarch python3-rpm-generators-5-8.el8.noarch python3-setuptools-39.2.0-7.el8.noarch python36-3.6.8-39.module+el8.10.0+20784+edafcd43.ppc64le python36-devel-3.6.8-39.module+el8.10.0+20784+edafcd43.ppc64le python36-rpm-macros-3.6.8-39.module+el8.10.0+20784+edafcd43.noarch Complete! Finish: build setup for cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm Start: rpmbuild cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm Building target platforms: ppc64le Building for target ppc64le Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.9lmRwO + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf cocotb + /usr/bin/mkdir -p cocotb + cd cocotb + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/cocotb/cocotb.git . Cloning into '.'... + git fetch --depth 1 origin 4a4f9144593829286b1b7878f72b03cdf25e381a From https://github.com/cocotb/cocotb * branch 4a4f9144593829286b1b7878f72b03cdf25e381a -> FETCH_HEAD + git reset --hard 4a4f9144593829286b1b7878f72b03cdf25e381a HEAD is now at 4a4f914 Add support for VHDL libraries in Riviera-PRO Makefile (#3922) + git --no-pager log --format=fuller commit 4a4f9144593829286b1b7878f72b03cdf25e381a Author: ogre AuthorDate: Tue Jun 11 18:22:04 2024 +0200 Commit: GitHub CommitDate: Tue Jun 11 10:22:04 2024 -0600 Add support for VHDL libraries in Riviera-PRO Makefile (#3922) Co-authored-by: Ola Groettvik + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.EIrIlF + umask 022 + cd /builddir/build/BUILD + cd cocotb + sed -i /-rpath/d cocotb_build_libs.py + sed -i 's|"-static-libstdc++"||g' cocotb_build_libs.py + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection' + LDFLAGS='-Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + /usr/bin/python3.6 setup.py build '--executable=/usr/bin/python3.6 -s' running build running build_py creating build creating build/lib.linux-ppc64le-3.6 creating build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_ANSI.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/__init__.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_conf.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_deprecation.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_outcomes.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_py_compat.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_scheduler.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_sim_versions.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_xunit_reporter.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/clock.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/config.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/decorators.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/handle.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/ipython_support.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/logging.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/queue.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/regression.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/result.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/runner.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/task.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/triggers.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/utils.py -> build/lib.linux-ppc64le-3.6/cocotb copying src/cocotb/_version.py -> build/lib.linux-ppc64le-3.6/cocotb creating build/lib.linux-ppc64le-3.6/cocotb_tools copying src/cocotb_tools/__init__.py -> build/lib.linux-ppc64le-3.6/cocotb_tools copying src/cocotb_tools/combine_results.py -> build/lib.linux-ppc64le-3.6/cocotb_tools copying src/cocotb_tools/config.py -> build/lib.linux-ppc64le-3.6/cocotb_tools copying src/cocotb_tools/runner.py -> build/lib.linux-ppc64le-3.6/cocotb_tools creating build/lib.linux-ppc64le-3.6/pygpi copying src/pygpi/__init__.py -> build/lib.linux-ppc64le-3.6/pygpi copying src/pygpi/entry.py -> build/lib.linux-ppc64le-3.6/pygpi creating build/lib.linux-ppc64le-3.6/cocotb/_vendor copying src/cocotb/_vendor/__init__.py -> build/lib.linux-ppc64le-3.6/cocotb/_vendor copying src/cocotb/_vendor/distutils_version.py -> build/lib.linux-ppc64le-3.6/cocotb/_vendor creating build/lib.linux-ppc64le-3.6/cocotb/types copying src/cocotb/types/__init__.py -> build/lib.linux-ppc64le-3.6/cocotb/types copying src/cocotb/types/array.py -> build/lib.linux-ppc64le-3.6/cocotb/types copying src/cocotb/types/logic.py -> build/lib.linux-ppc64le-3.6/cocotb/types copying src/cocotb/types/logic_array.py -> build/lib.linux-ppc64le-3.6/cocotb/types copying src/cocotb/types/range.py -> build/lib.linux-ppc64le-3.6/cocotb/types creating build/lib.linux-ppc64le-3.6/cocotb/share creating build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/cocotb_utils.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/embed.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/exports.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/gpi.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/gpi_logging.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/py_gpi_logging.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include copying src/cocotb/share/include/vpi_user_ext.h -> build/lib.linux-ppc64le-3.6/cocotb/share/include creating build/lib.linux-ppc64le-3.6/cocotb/share/def copying src/cocotb/share/def/.gitignore -> build/lib.linux-ppc64le-3.6/cocotb/share/def copying src/cocotb/share/def/README.md -> build/lib.linux-ppc64le-3.6/cocotb/share/def copying src/cocotb/share/def/aldec.def -> build/lib.linux-ppc64le-3.6/cocotb/share/def copying src/cocotb/share/def/ghdl.def -> build/lib.linux-ppc64le-3.6/cocotb/share/def copying src/cocotb/share/def/icarus.def -> build/lib.linux-ppc64le-3.6/cocotb/share/def copying src/cocotb/share/def/modelsim.def -> build/lib.linux-ppc64le-3.6/cocotb/share/def creating build/lib.linux-ppc64le-3.6/cocotb/share/lib creating build/lib.linux-ppc64le-3.6/cocotb/share/lib/verilator copying src/cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-ppc64le-3.6/cocotb/share/lib/verilator creating build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.deprecations -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.inc -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.sim -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles creating build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.activehdl -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.cvc -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ghdl -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.icarus -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ius -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.modelsim -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.nvc -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.questa -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.riviera -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.vcs -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.verilator -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.xcelium -> build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-ppc64le-3.6 creating build/temp.linux-ppc64le-3.6/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto creating build/lib.linux-ppc64le-3.6/cocotb/libs g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libgpilog.so -flto building 'cocotb/libs/libpygpilog' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog creating build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DPYGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/py_gpi_log/py_gpi_logging.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libpygpilog.so -flto building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBUTILS_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpilog -ldl -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbutils.so -flto building 'cocotb/libs/libembed' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libembed creating build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src/cocotb/share/lib/embed gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTB_EMBED_EXPORTS= -DPYTHON_LIB=libpython3.6m.so -D__STDC_FORMAT_MACROS= -DEMBED_IMPL_LIB=libcocotb.so -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/embed/embed.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libembed.so -flto building 'cocotb/libs/libgpi' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share/lib/gpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lembed -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libgpi.so -flto building 'cocotb/libs/libcocotb' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src/cocotb/share/lib/embed gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpygpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotb.so -flto building 'cocotb/simulator' extension creating build/temp.linux-ppc64le-3.6/cocotb/simulator creating build/temp.linux-ppc64le-3.6/cocotb/simulator/src creating build/temp.linux-ppc64le-3.6/cocotb/simulator/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/simulator/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/simulator/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/simulator/src/cocotb/share/lib/simulator gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-ppc64le-3.6/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lgpi -lpygpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/simulator.cpython-36m-ppc64le-linux-gnu.so -flto building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus.vpl -flto building 'cocotb/libs/libcocotbvpi_modelsim' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim.so -flto building 'cocotb/libs/libcocotbvhpi_modelsim' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim.so -flto building 'cocotb/libs/libcocotbfli_modelsim' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/fli/FliImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/fli/FliCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/fli/FliObjHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim.so -flto building 'cocotb/libs/libcocotbvpi_ghdl' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl.so -flto building 'cocotb/libs/libcocotbvpi_ius' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius.so -flto building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius.so -flto building 'cocotb/libs/libcocotbvpi_vcs' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs.so -flto building 'cocotb/libs/libcocotbvpi_aldec' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec.so -flto building 'cocotb/libs/libcocotbvhpi_aldec' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec.so -flto building 'cocotb/libs/libcocotbvpi_verilator' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator.so -flto building 'cocotb/libs/libcocotbvhpi_nvc' extension creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib creating build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -pthread -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -D_GNU_SOURCE -fPIC -fwrapv -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.6m -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -pthread -shared -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -g -Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o build/temp.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -Lbuild/lib.linux-ppc64le-3.6/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -lpython3.6m -o build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc.so -flto + sleep 1 + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.d8xAnn + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le '!=' / ']' + rm -rf /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le ++ dirname /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le + cd cocotb + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -funwind-tables -fstack-clash-protection' + LDFLAGS='-Wl,-z,relro -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + /usr/bin/python3.6 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le running install running install_lib creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64 creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6 creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_ANSI.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_conf.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_deprecation.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_outcomes.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_py_compat.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_scheduler.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_sim_versions.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_xunit_reporter.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/clock.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/config.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/decorators.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/handle.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/ipython_support.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/logging.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/queue.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/regression.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/result.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/runner.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/task.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/triggers.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/utils.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb copying build/lib.linux-ppc64le-3.6/cocotb/_version.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_vendor copying build/lib.linux-ppc64le-3.6/cocotb/_vendor/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_vendor copying build/lib.linux-ppc64le-3.6/cocotb/_vendor/distutils_version.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_vendor creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types copying build/lib.linux-ppc64le-3.6/cocotb/types/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types copying build/lib.linux-ppc64le-3.6/cocotb/types/array.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types copying build/lib.linux-ppc64le-3.6/cocotb/types/logic.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types copying build/lib.linux-ppc64le-3.6/cocotb/types/logic_array.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types copying build/lib.linux-ppc64le-3.6/cocotb/types/range.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/embed.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/exports.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/gpi.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/py_gpi_logging.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include copying build/lib.linux-ppc64le-3.6/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/include creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def copying build/lib.linux-ppc64le-3.6/cocotb/share/def/.gitignore -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def copying build/lib.linux-ppc64le-3.6/cocotb/share/def/README.md -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def copying build/lib.linux-ppc64le-3.6/cocotb/share/def/aldec.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def copying build/lib.linux-ppc64le-3.6/cocotb/share/def/ghdl.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def copying build/lib.linux-ppc64le-3.6/cocotb/share/def/icarus.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def copying build/lib.linux-ppc64le-3.6/cocotb/share/def/modelsim.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/def creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/lib creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/lib/verilator copying build/lib.linux-ppc64le-3.6/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libgpilog.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libpygpilog.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libembed.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libgpi.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotb.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbfli_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/libs/libcocotbvhpi_nvc.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs copying build/lib.linux-ppc64le-3.6/cocotb/simulator.cpython-36m-ppc64le-linux-gnu.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools copying build/lib.linux-ppc64le-3.6/cocotb_tools/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools copying build/lib.linux-ppc64le-3.6/cocotb_tools/combine_results.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools copying build/lib.linux-ppc64le-3.6/cocotb_tools/config.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools copying build/lib.linux-ppc64le-3.6/cocotb_tools/runner.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/Makefile.deprecations -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/Makefile.inc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/Makefile.sim -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.ius -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.questa -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-ppc64le-3.6/cocotb_tools/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/makefiles/simulators creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/pygpi copying build/lib.linux-ppc64le-3.6/pygpi/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/pygpi copying build/lib.linux-ppc64le-3.6/pygpi/entry.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/pygpi byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_ANSI.py to _ANSI.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/__init__.py to __init__.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_conf.py to _conf.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_deprecation.py to _deprecation.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_outcomes.py to _outcomes.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_py_compat.py to _py_compat.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_scheduler.py to _scheduler.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_sim_versions.py to _sim_versions.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_xunit_reporter.py to _xunit_reporter.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/clock.py to clock.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/config.py to config.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/decorators.py to decorators.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/handle.py to handle.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/ipython_support.py to ipython_support.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/logging.py to logging.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/queue.py to queue.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/regression.py to regression.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/result.py to result.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/runner.py to runner.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/task.py to task.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/triggers.py to triggers.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/utils.py to utils.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_version.py to _version.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_vendor/__init__.py to __init__.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/_vendor/distutils_version.py to distutils_version.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types/__init__.py to __init__.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types/array.py to array.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types/logic.py to logic.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types/logic_array.py to logic_array.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/types/range.py to range.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/__init__.py to __init__.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/combine_results.py to combine_results.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/config.py to config.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb_tools/runner.py to runner.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/pygpi/__init__.py to __init__.cpython-36.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/pygpi/entry.py to entry.cpython-36.pyc writing byte-compilation script '/tmp/tmpz2946g_e.py' /usr/bin/python3.6 /tmp/tmpz2946g_e.py removing /tmp/tmpz2946g_e.py running install_egg_info running egg_info creating src/cocotb.egg-info writing src/cocotb.egg-info/PKG-INFO writing dependency_links to src/cocotb.egg-info/dependency_links.txt writing entry points to src/cocotb.egg-info/entry_points.txt writing requirements to src/cocotb.egg-info/requires.txt writing top-level names to src/cocotb.egg-info/top_level.txt writing manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' writing manifest file 'src/cocotb.egg-info/SOURCES.txt' Copying src/cocotb.egg-info to /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb-2.0.0.dev0+4a4f914-py3.6.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/bin + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 1.8.1-20240611.0.git4a4f9144.el8 --unique-debug-suffix -1.8.1-20240611.0.git4a4f9144.el8.ppc64le --unique-debug-src-base cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotb.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbfli_modelsim.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbutils.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvhpi_aldec.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvhpi_ius.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvhpi_modelsim.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvhpi_nvc.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_aldec.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_ghdl.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_ius.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_modelsim.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_vcs.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_verilator.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libembed.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libgpi.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libgpilog.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libpygpilog.so extracting debug info from /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/simulator.cpython-36m-ppc64le-linux-gnu.so /usr/lib/rpm/sepdebugcrcfix: Updated 19 CRC32s, 0 CRC32s did match. 1564 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig /sbin/ldconfig: Warning: ignoring configuration file that cannot be opened: /etc/ld.so.conf: No such file or directory + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile '' 1 Bytecompiling .py files below /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6 using /usr/libexec/platform-python Bytecompiling .py files below /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6 using /usr/libexec/platform-python + /usr/lib/rpm/brp-python-hardlink + PYTHON3=/usr/bin/python3.6 + /usr/lib/rpm/redhat/brp-mangle-shebangs Processing files: cocotb-python3-1.8.1-20240611.0.git4a4f9144.el8.ppc64le Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.dnlSbI + umask 022 + cd /builddir/build/BUILD + cd cocotb + DOCDIR=/builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/share/doc/cocotb-python3 + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/share/doc/cocotb-python3 + cp -pr README.md /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/share/doc/cocotb-python3 + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.Mw6WcA + umask 022 + cd /builddir/build/BUILD + cd cocotb + LICENSEDIR=/builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/share/licenses/cocotb-python3 + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/share/licenses/cocotb-python3 + cp -pr LICENSE /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/share/licenses/cocotb-python3 + exit 0 warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_ghdl.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_vcs.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_aldec.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_verilator.so Provides: cocotb cocotb-python3 = 1.8.1-20240611.0.git4a4f9144.el8 cocotb-python3(ppc-64) = 1.8.1-20240611.0.git4a4f9144.el8 libcocotb.so()(64bit) libcocotbfli_modelsim.so()(64bit) libcocotbutils.so()(64bit) libcocotbvhpi_aldec.so()(64bit) libcocotbvhpi_ius.so()(64bit) libcocotbvhpi_modelsim.so()(64bit) libcocotbvhpi_nvc.so()(64bit) libcocotbvpi_aldec.so()(64bit) libcocotbvpi_ghdl.so()(64bit) libcocotbvpi_ius.so()(64bit) libcocotbvpi_modelsim.so()(64bit) libcocotbvpi_vcs.so()(64bit) libcocotbvpi_verilator.so()(64bit) libembed.so()(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) python3.6dist(cocotb) = 2.0.0.dev0+4a4f914 python3dist(cocotb) = 2.0.0.dev0+4a4f914 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3.6 libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libcocotbutils.so()(64bit) libdl.so.2()(64bit) libdl.so.2(GLIBC_2.17)(64bit) libembed.so()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libm.so.6()(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.17)(64bit) libpygpilog.so()(64bit) libpython3.6m.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) python(abi) = 3.6 python3.6dist(find-libpython) rtld(GNU_HASH) Processing files: cocotb-debugsource-1.8.1-20240611.0.git4a4f9144.el8.ppc64le Provides: cocotb-debugsource = 1.8.1-20240611.0.git4a4f9144.el8 cocotb-debugsource(ppc-64) = 1.8.1-20240611.0.git4a4f9144.el8 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: cocotb-python3-debuginfo-1.8.1-20240611.0.git4a4f9144.el8.ppc64le warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le/usr/lib/debug/usr/lib64/python3.6/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.debug Provides: cocotb-python3-debuginfo = 1.8.1-20240611.0.git4a4f9144.el8 cocotb-python3-debuginfo(ppc-64) = 1.8.1-20240611.0.git4a4f9144.el8 debuginfo(build-id) = 0101a6694207bca47e170eb4f85e2ef90ea8287a debuginfo(build-id) = 54358076b8e66c53b3247ff80385504d5f73d87f debuginfo(build-id) = 563a078fef45dfa7a0dd022422a539541bbd5307 debuginfo(build-id) = 594e7b715940350e0c62aa1fc38b3a54df5465e7 debuginfo(build-id) = 5a817a33cdca85531b3f502429d6734c28e1b522 debuginfo(build-id) = 672479784c975ab70b92ea9d5a02b489a675e5f3 debuginfo(build-id) = 71317694eb72961eba07a3a222869ae7392d4b4c debuginfo(build-id) = 8c363547a08efbd7c3700d562fdeb5d4e9caf82b debuginfo(build-id) = 97aec38e233b088db0631ba79d3ebc4dbe49b169 debuginfo(build-id) = a05029adfa093ad320e6cf78130b1723a4c10ec6 debuginfo(build-id) = b4d623b46abbf623732f0e0788c36e5fc9da4b40 debuginfo(build-id) = bea6cf11f444729e598164efc7949c290390910b debuginfo(build-id) = d2bb9fb8804d6f6225aa00151994ae3bb4096203 debuginfo(build-id) = e70081e1efd03bff978594d4eb0e926ad9391c01 debuginfo(build-id) = f1fcdbfafe0d6ab642220510b3caa854a8462665 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: cocotb-debugsource(ppc-64) = 1.8.1-20240611.0.git4a4f9144.el8 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le Wrote: /builddir/build/RPMS/cocotb-python3-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.rpm Wrote: /builddir/build/RPMS/cocotb-debugsource-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.rpm Wrote: /builddir/build/RPMS/cocotb-python3-debuginfo-1.8.1-20240611.0.git4a4f9144.el8.ppc64le.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.gTgpgi + umask 022 + cd /builddir/build/BUILD + cd cocotb + /usr/bin/rm -rf /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.el8.ppc64le + exit 0 Finish: rpmbuild cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm Finish: build phase for cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log/dnf.log /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log/dnf.librepo.log /var/lib/mock/rhel+epel-8-ppc64le-1718153942.733572/root/var/log/dnf.rpm.log INFO: Done(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240611.0.git4a4f9144.el8.src.rpm) Config(child) 1 minutes 37 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "cocotb-python3-debuginfo", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.el8", "arch": "ppc64le" }, { "name": "cocotb-debugsource", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.el8", "arch": "ppc64le" }, { "name": "cocotb", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.el8", "arch": "src" }, { "name": "cocotb-python3", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.el8", "arch": "ppc64le" } ] } RPMResults finished