Warning: Permanently added '54.209.189.238' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/6706972-fedora-37-aarch64 --chroot fedora-37-aarch64 Version: 0.70 PID: 6068 Logging PID: 6069 Task: {'appstream': False, 'background': False, 'build_id': 6706972, 'buildroot_pkgs': [], 'chroot': 'fedora-37-aarch64', 'enable_net': True, 'fedora_review': False, 'git_hash': 'd42741050f4f45af99a899aebb9cb6eeea972013', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.35-20231129.0.git8614d9b3', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-37-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-37-aarch64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-37-aarch64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'submitter': 'rezso', 'tags': [], 'task_id': '6706972-fedora-37-aarch64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys'... Running: git checkout d42741050f4f45af99a899aebb9cb6eeea972013 -- cmd: ['git', 'checkout', 'd42741050f4f45af99a899aebb9cb6eeea972013', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys rc: 0 stdout: stderr: Note: switching to 'd42741050f4f45af99a899aebb9cb6eeea972013'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at d427410 automatic import of yosys Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1701307022.946934 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.2 starting (python version = 3.11.3, NVR = mock-5.2-1.fc38), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1701307022.946934 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys/yosys.spec) Config(fedora-37-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.2 INFO: Mock Version: 5.2 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-bootstrap-1701307022.946934/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:37 INFO: Pulling image: registry.fedoraproject.org/fedora:37 INFO: Copy content of container registry.fedoraproject.org/fedora:37 to /var/lib/mock/fedora-37-aarch64-bootstrap-1701307022.946934/root INFO: Checking that registry.fedoraproject.org/fedora:37 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:37 with podman image mount INFO: image registry.fedoraproject.org/fedora:37 as /var/lib/containers/storage/overlay/6745ec2ad34894f1304692cd5241484eb7e2eb54d4684507aa18d4543b3bcdc1/merged INFO: umounting image registry.fedoraproject.org/fedora:37 (/var/lib/containers/storage/overlay/6745ec2ad34894f1304692cd5241484eb7e2eb54d4684507aa18d4543b3bcdc1/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 5.5 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_ML 11 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_CUDA 594 kB/s | 55 kB 00:00 Additional repo http_developer_download_nvidia_ 162 MB/s | 2.9 MB 00:00 Additional repo http_developer_download_nvidia_ 114 MB/s | 1.7 MB 00:00 Additional repo http_developer_download_nvidia_ 111 MB/s | 1.7 MB 00:00 fedora 44 MB/s | 78 MB 00:01 updates 37 MB/s | 39 MB 00:01 Package python3-dnf-4.18.0-2.fc37.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.4.3-1.fc37 updates 322 k Installing dependencies: dbus-libs aarch64 1:1.14.10-1.fc37 updates 154 k python3-dateutil noarch 1:2.8.2-4.fc37 fedora 361 k python3-dbus aarch64 1.3.2-1.fc37 updates 158 k python3-distro noarch 1.7.0-3.fc37 fedora 48 k python3-six noarch 1.16.0-8.fc37 fedora 42 k python3-systemd aarch64 235-1.fc37 fedora 108 k Transaction Summary ================================================================================ Install 7 Packages Total download size: 1.2 M Installed size: 4.0 M Downloading Packages: (1/7): python3-distro-1.7.0-3.fc37.noarch.rpm 617 kB/s | 48 kB 00:00 (2/7): python3-six-1.16.0-8.fc37.noarch.rpm 532 kB/s | 42 kB 00:00 (3/7): python3-systemd-235-1.fc37.aarch64.rpm 25 MB/s | 108 kB 00:00 (4/7): python3-dateutil-2.8.2-4.fc37.noarch.rpm 4.2 MB/s | 361 kB 00:00 (5/7): dbus-libs-1.14.10-1.fc37.aarch64.rpm 19 MB/s | 154 kB 00:00 (6/7): python3-dbus-1.3.2-1.fc37.aarch64.rpm 31 MB/s | 158 kB 00:00 (7/7): python3-dnf-plugins-core-4.4.3-1.fc37.no 39 MB/s | 322 kB 00:00 -------------------------------------------------------------------------------- Total 2.4 MB/s | 1.2 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : dbus-libs-1:1.14.10-1.fc37.aarch64 1/7 Installing : python3-dbus-1.3.2-1.fc37.aarch64 2/7 Installing : python3-systemd-235-1.fc37.aarch64 3/7 Installing : python3-six-1.16.0-8.fc37.noarch 4/7 Installing : python3-dateutil-1:2.8.2-4.fc37.noarch 5/7 Installing : python3-distro-1.7.0-3.fc37.noarch 6/7 Installing : python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Running scriptlet: python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Verifying : python3-dateutil-1:2.8.2-4.fc37.noarch 1/7 Verifying : python3-distro-1.7.0-3.fc37.noarch 2/7 Verifying : python3-six-1.16.0-8.fc37.noarch 3/7 Verifying : python3-systemd-235-1.fc37.aarch64 4/7 Verifying : dbus-libs-1:1.14.10-1.fc37.aarch64 5/7 Verifying : python3-dbus-1.3.2-1.fc37.aarch64 6/7 Verifying : python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Installed: dbus-libs-1:1.14.10-1.fc37.aarch64 python3-dateutil-1:2.8.2-4.fc37.noarch python3-dbus-1.3.2-1.fc37.aarch64 python3-distro-1.7.0-3.fc37.noarch python3-dnf-plugins-core-4.4.3-1.fc37.noarch python3-six-1.16.0-8.fc37.noarch python3-systemd-235-1.fc37.aarch64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-1701307022.946934/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 12 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_ML 11 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_CUDA 619 kB/s | 55 kB 00:00 Additional repo http_developer_download_nvidia_ 179 MB/s | 2.9 MB 00:00 Additional repo http_developer_download_nvidia_ 114 MB/s | 1.7 MB 00:00 Additional repo http_developer_download_nvidia_ 110 MB/s | 1.7 MB 00:00 fedora 45 MB/s | 78 MB 00:01 updates 3.5 MB/s | 39 MB 00:11 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing group/module packages: bash aarch64 5.2.21-1.fc37 updates 1.8 M bzip2 aarch64 1.0.8-12.fc37 fedora 52 k coreutils aarch64 9.1-8.fc37 updates 1.0 M cpio aarch64 2.13-13.fc37 fedora 274 k diffutils aarch64 3.8-3.fc37 fedora 376 k fedora-release-common noarch 37-18 updates 19 k findutils aarch64 1:4.9.0-2.fc37 fedora 493 k gawk aarch64 5.1.1-4.fc37 fedora 1.0 M glibc-minimal-langpack aarch64 2.36-18.fc37 updates 71 k grep aarch64 3.7-4.fc37 fedora 270 k gzip aarch64 1.12-2.fc37 fedora 164 k info aarch64 6.8-4.fc37 fedora 220 k patch aarch64 2.7.6-17.fc37 fedora 121 k redhat-rpm-config noarch 230-1.fc37 updates 78 k rpm-build aarch64 4.18.2-1.fc37 updates 75 k sed aarch64 4.8-11.fc37 fedora 304 k shadow-utils aarch64 2:4.12.3-6.fc37 updates 1.3 M tar aarch64 2:1.34-6.fc37 updates 875 k unzip aarch64 6.0-58.fc37 fedora 182 k util-linux aarch64 2.38.1-1.fc37 fedora 2.3 M which aarch64 2.21-39.fc37 updates 42 k xz aarch64 5.4.1-1.fc37 updates 420 k Installing dependencies: alternatives aarch64 1.24-1.fc37 updates 38 k ansible-srpm-macros noarch 1-10.fc37 updates 20 k audit-libs aarch64 3.1.2-5.fc37 updates 118 k authselect aarch64 1.4.2-1.fc37 updates 144 k authselect-libs aarch64 1.4.2-1.fc37 updates 248 k basesystem noarch 11-14.fc37 fedora 7.0 k binutils aarch64 2.38-27.fc37 updates 5.8 M binutils-gold aarch64 2.38-27.fc37 updates 919 k bzip2-libs aarch64 1.0.8-12.fc37 fedora 43 k ca-certificates noarch 2023.2.60_v7.0.306-1.0.fc37 updates 837 k coreutils-common aarch64 9.1-8.fc37 updates 2.0 M cracklib aarch64 2.9.7-30.fc37 fedora 93 k crypto-policies noarch 20220815-1.gite4ed860.fc37 fedora 86 k curl aarch64 7.85.0-12.fc37 updates 311 k cyrus-sasl-lib aarch64 2.1.28-8.fc37 fedora 778 k debugedit aarch64 5.0-7.fc37 updates 77 k dwz aarch64 0.14-7.fc37 fedora 126 k ed aarch64 1.18-2.fc37 fedora 78 k efi-srpm-macros noarch 5-6.fc37 fedora 22 k elfutils aarch64 0.190-1.fc37 updates 549 k elfutils-debuginfod-client aarch64 0.190-1.fc37 updates 37 k elfutils-default-yama-scope noarch 0.190-1.fc37 updates 13 k elfutils-libelf aarch64 0.190-1.fc37 updates 194 k elfutils-libs aarch64 0.190-1.fc37 updates 257 k fedora-gpg-keys noarch 37-2 updates 126 k fedora-release noarch 37-18 updates 8.7 k fedora-release-identity-basic noarch 37-18 updates 9.4 k fedora-repos noarch 37-2 updates 9.4 k file aarch64 5.42-4.fc37 fedora 49 k file-libs aarch64 5.42-4.fc37 fedora 674 k filesystem aarch64 3.18-2.fc37 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-9.fc37 fedora 26 k fpc-srpm-macros noarch 1.3-6.fc37 fedora 7.7 k gdb-minimal aarch64 13.2-3.fc37 updates 3.7 M gdbm-libs aarch64 1:1.23-2.fc37 fedora 57 k ghc-srpm-macros noarch 1.6.1-1.fc37 updates 8.0 k glibc aarch64 2.36-18.fc37 updates 1.8 M glibc-common aarch64 2.36-18.fc37 updates 348 k glibc-gconv-extra aarch64 2.36-18.fc37 updates 1.7 M gmp aarch64 1:6.2.1-3.fc37 fedora 265 k gnat-srpm-macros noarch 5-1.fc37 updates 8.3 k go-srpm-macros noarch 3.3.1-1.fc37 updates 28 k kernel-srpm-macros noarch 1.0-15.fc37 fedora 9.4 k keyutils-libs aarch64 1.6.1-5.fc37 fedora 31 k krb5-libs aarch64 1.19.2-13.fc37 updates 731 k libacl aarch64 2.3.1-4.fc37 fedora 23 k libarchive aarch64 3.6.1-3.fc37 updates 392 k libattr aarch64 2.5.1-5.fc37 fedora 18 k libblkid aarch64 2.38.1-1.fc37 fedora 106 k libbrotli aarch64 1.0.9-9.fc37 fedora 318 k libcap aarch64 2.48-5.fc37 fedora 67 k libcap-ng aarch64 0.8.3-3.fc37 fedora 33 k libcom_err aarch64 1.46.5-3.fc37 fedora 25 k libcurl aarch64 7.85.0-12.fc37 updates 297 k libdb aarch64 5.3.28-53.fc37 fedora 737 k libeconf aarch64 0.5.2-1.fc37 updates 30 k libevent aarch64 2.1.12-7.fc37 fedora 254 k libfdisk aarch64 2.38.1-1.fc37 fedora 155 k libffi aarch64 3.4.4-1.fc37 updates 35 k libgcc aarch64 12.3.1-1.fc37 updates 99 k libgomp aarch64 12.3.1-1.fc37 updates 298 k libidn2 aarch64 2.3.4-1.fc37 updates 159 k libmount aarch64 2.38.1-1.fc37 fedora 133 k libnghttp2 aarch64 1.51.0-2.fc37 updates 73 k libnsl2 aarch64 2.0.0-4.fc37 fedora 30 k libpkgconf aarch64 1.8.0-3.fc37 fedora 36 k libpsl aarch64 0.21.1-6.fc37 fedora 63 k libpwquality aarch64 1.4.5-3.fc37 updates 119 k libselinux aarch64 3.5-1.fc37 updates 86 k libsemanage aarch64 3.5-2.fc37 updates 116 k libsepol aarch64 3.5-1.fc37 updates 311 k libsigsegv aarch64 2.14-3.fc37 fedora 27 k libsmartcols aarch64 2.38.1-1.fc37 fedora 63 k libssh aarch64 0.10.5-1.fc37 updates 211 k libssh-config noarch 0.10.5-1.fc37 updates 8.6 k libstdc++ aarch64 12.3.1-1.fc37 updates 767 k libtasn1 aarch64 4.19.0-1.fc37 updates 73 k libtirpc aarch64 1.3.3-1.rc1.fc37 updates 93 k libunistring aarch64 1.0-2.fc37 fedora 543 k libutempter aarch64 1.2.1-7.fc37 fedora 26 k libuuid aarch64 2.38.1-1.fc37 fedora 28 k libverto aarch64 0.3.2-4.fc37 fedora 21 k libxcrypt aarch64 4.4.36-1.fc37 updates 123 k libxml2 aarch64 2.10.4-1.fc37 updates 686 k libzstd aarch64 1.5.5-1.fc37 updates 279 k lua-libs aarch64 5.4.4-9.fc37 updates 129 k lua-srpm-macros noarch 1-7.fc37 fedora 8.8 k lz4-libs aarch64 1.9.4-1.fc37 updates 67 k mpfr aarch64 4.1.0-10.fc37 fedora 240 k ncurses-base noarch 6.4-3.20230114.fc37 updates 86 k ncurses-libs aarch64 6.4-3.20230114.fc37 updates 319 k nim-srpm-macros noarch 3-7.fc37 fedora 8.4 k ocaml-srpm-macros noarch 7-2.fc37 fedora 13 k openblas-srpm-macros noarch 2-12.fc37 fedora 7.5 k openldap aarch64 2.6.6-1.fc37 updates 249 k openssl-libs aarch64 1:3.0.9-1.fc37 updates 2.0 M p11-kit aarch64 0.25.2-1.fc37 updates 501 k p11-kit-trust aarch64 0.25.2-1.fc37 updates 140 k package-notes-srpm-macros noarch 0.5-7.fc37 updates 11 k pam aarch64 1.5.2-14.fc37 fedora 567 k pam-libs aarch64 1.5.2-14.fc37 fedora 58 k pcre aarch64 8.45-1.fc37.2 fedora 184 k pcre2 aarch64 10.40-1.fc37.1 fedora 219 k pcre2-syntax noarch 10.40-1.fc37.1 fedora 142 k perl-srpm-macros noarch 1-46.fc37 fedora 8.3 k pkgconf aarch64 1.8.0-3.fc37 fedora 41 k pkgconf-m4 noarch 1.8.0-3.fc37 fedora 14 k pkgconf-pkg-config aarch64 1.8.0-3.fc37 fedora 10 k popt aarch64 1.19-1.fc37 fedora 66 k publicsuffix-list-dafsa noarch 20230812-1.fc37 updates 57 k pyproject-srpm-macros noarch 1.10.0-1.fc37 updates 14 k python-srpm-macros noarch 3.11-6.fc37 updates 24 k qt5-srpm-macros noarch 5.15.10-1.fc37 updates 8.2 k qt6-srpm-macros noarch 6.5.1-2.fc37 updates 8.8 k readline aarch64 8.2-2.fc37 updates 210 k rpm aarch64 4.18.2-1.fc37 updates 563 k rpm-build-libs aarch64 4.18.2-1.fc37 updates 87 k rpm-libs aarch64 4.18.2-1.fc37 updates 309 k rpmautospec-rpm-macros noarch 0.3.5-1.fc37 updates 9.3 k rust-srpm-macros noarch 25.2-2.fc37 updates 12 k setup noarch 2.14.1-2.fc37 fedora 149 k sqlite-libs aarch64 3.40.0-1.fc37 updates 661 k systemd-libs aarch64 251.14-2.fc37 updates 588 k tzdata noarch 2023c-1.fc37 updates 718 k util-linux-core aarch64 2.38.1-1.fc37 fedora 471 k xxhash-libs aarch64 0.8.2-1.fc37 updates 34 k xz-libs aarch64 5.4.1-1.fc37 updates 106 k zip aarch64 3.0-33.fc37 fedora 256 k zlib aarch64 1.2.12-5.fc37 fedora 93 k zstd aarch64 1.5.5-1.fc37 updates 444 k Installing Groups: Buildsystem building group Transaction Summary ================================================================================ Install 152 Packages Total download size: 51 M Installed size: 206 M Downloading Packages: (1/152): bzip2-1.0.8-12.fc37.aarch64.rpm 619 kB/s | 52 kB 00:00 (2/152): basesystem-11-14.fc37.noarch.rpm 82 kB/s | 7.0 kB 00:00 (3/152): bzip2-libs-1.0.8-12.fc37.aarch64.rpm 504 kB/s | 43 kB 00:00 (4/152): cracklib-2.9.7-30.fc37.aarch64.rpm 31 MB/s | 93 kB 00:00 (5/152): crypto-policies-20220815-1.gite4ed860. 15 MB/s | 86 kB 00:00 (6/152): cpio-2.13-13.fc37.aarch64.rpm 32 MB/s | 274 kB 00:00 (7/152): dwz-0.14-7.fc37.aarch64.rpm 56 MB/s | 126 kB 00:00 (8/152): diffutils-3.8-3.fc37.aarch64.rpm 55 MB/s | 376 kB 00:00 (9/152): ed-1.18-2.fc37.aarch64.rpm 22 MB/s | 78 kB 00:00 (10/152): efi-srpm-macros-5-6.fc37.noarch.rpm 6.8 MB/s | 22 kB 00:00 (11/152): file-5.42-4.fc37.aarch64.rpm 7.6 MB/s | 49 kB 00:00 (12/152): cyrus-sasl-lib-2.1.28-8.fc37.aarch64. 38 MB/s | 778 kB 00:00 (13/152): filesystem-3.18-2.fc37.aarch64.rpm 146 MB/s | 1.1 MB 00:00 (14/152): findutils-4.9.0-2.fc37.aarch64.rpm 57 MB/s | 493 kB 00:00 (15/152): file-libs-5.42-4.fc37.aarch64.rpm 37 MB/s | 674 kB 00:00 (16/152): fonts-srpm-macros-2.0.5-9.fc37.noarch 8.8 MB/s | 26 kB 00:00 (17/152): fpc-srpm-macros-1.3-6.fc37.noarch.rpm 1.7 MB/s | 7.7 kB 00:00 (18/152): gdbm-libs-1.23-2.fc37.aarch64.rpm 13 MB/s | 57 kB 00:00 (19/152): gmp-6.2.1-3.fc37.aarch64.rpm 74 MB/s | 265 kB 00:00 (20/152): grep-3.7-4.fc37.aarch64.rpm 52 MB/s | 270 kB 00:00 (21/152): gawk-5.1.1-4.fc37.aarch64.rpm 88 MB/s | 1.0 MB 00:00 (22/152): gzip-1.12-2.fc37.aarch64.rpm 31 MB/s | 164 kB 00:00 (23/152): kernel-srpm-macros-1.0-15.fc37.noarch 4.5 MB/s | 9.4 kB 00:00 (24/152): keyutils-libs-1.6.1-5.fc37.aarch64.rp 8.5 MB/s | 31 kB 00:00 (25/152): libacl-2.3.1-4.fc37.aarch64.rpm 6.9 MB/s | 23 kB 00:00 (26/152): info-6.8-4.fc37.aarch64.rpm 28 MB/s | 220 kB 00:00 (27/152): libattr-2.5.1-5.fc37.aarch64.rpm 5.3 MB/s | 18 kB 00:00 (28/152): libblkid-2.38.1-1.fc37.aarch64.rpm 29 MB/s | 106 kB 00:00 (29/152): libcap-2.48-5.fc37.aarch64.rpm 12 MB/s | 67 kB 00:00 (30/152): libcap-ng-0.8.3-3.fc37.aarch64.rpm 5.7 MB/s | 33 kB 00:00 (31/152): libbrotli-1.0.9-9.fc37.aarch64.rpm 33 MB/s | 318 kB 00:00 (32/152): libcom_err-1.46.5-3.fc37.aarch64.rpm 3.3 MB/s | 25 kB 00:00 (33/152): libdb-5.3.28-53.fc37.aarch64.rpm 87 MB/s | 737 kB 00:00 (34/152): libevent-2.1.12-7.fc37.aarch64.rpm 31 MB/s | 254 kB 00:00 (35/152): libfdisk-2.38.1-1.fc37.aarch64.rpm 51 MB/s | 155 kB 00:00 (36/152): libmount-2.38.1-1.fc37.aarch64.rpm 42 MB/s | 133 kB 00:00 (37/152): libnsl2-2.0.0-4.fc37.aarch64.rpm 5.8 MB/s | 30 kB 00:00 (38/152): libpkgconf-1.8.0-3.fc37.aarch64.rpm 8.8 MB/s | 36 kB 00:00 (39/152): libpsl-0.21.1-6.fc37.aarch64.rpm 20 MB/s | 63 kB 00:00 (40/152): libsmartcols-2.38.1-1.fc37.aarch64.rp 25 MB/s | 63 kB 00:00 (41/152): libsigsegv-2.14-3.fc37.aarch64.rpm 5.2 MB/s | 27 kB 00:00 (42/152): libunistring-1.0-2.fc37.aarch64.rpm 105 MB/s | 543 kB 00:00 (43/152): libutempter-1.2.1-7.fc37.aarch64.rpm 6.8 MB/s | 26 kB 00:00 (44/152): libverto-0.3.2-4.fc37.aarch64.rpm 6.5 MB/s | 21 kB 00:00 (45/152): lua-srpm-macros-1-7.fc37.noarch.rpm 4.0 MB/s | 8.8 kB 00:00 (46/152): mpfr-4.1.0-10.fc37.aarch64.rpm 87 MB/s | 240 kB 00:00 (47/152): nim-srpm-macros-3-7.fc37.noarch.rpm 2.9 MB/s | 8.4 kB 00:00 (48/152): libuuid-2.38.1-1.fc37.aarch64.rpm 3.2 MB/s | 28 kB 00:00 (49/152): openblas-srpm-macros-2-12.fc37.noarch 3.3 MB/s | 7.5 kB 00:00 (50/152): ocaml-srpm-macros-7-2.fc37.noarch.rpm 4.2 MB/s | 13 kB 00:00 (51/152): pam-libs-1.5.2-14.fc37.aarch64.rpm 15 MB/s | 58 kB 00:00 (52/152): pam-1.5.2-14.fc37.aarch64.rpm 78 MB/s | 567 kB 00:00 (53/152): patch-2.7.6-17.fc37.aarch64.rpm 21 MB/s | 121 kB 00:00 (54/152): pcre2-10.40-1.fc37.1.aarch64.rpm 74 MB/s | 219 kB 00:00 (55/152): pcre2-syntax-10.40-1.fc37.1.noarch.rp 39 MB/s | 142 kB 00:00 (56/152): pcre-8.45-1.fc37.2.aarch64.rpm 30 MB/s | 184 kB 00:00 (57/152): perl-srpm-macros-1-46.fc37.noarch.rpm 3.9 MB/s | 8.3 kB 00:00 (58/152): pkgconf-m4-1.8.0-3.fc37.noarch.rpm 6.2 MB/s | 14 kB 00:00 (59/152): pkgconf-1.8.0-3.fc37.aarch64.rpm 12 MB/s | 41 kB 00:00 (60/152): popt-1.19-1.fc37.aarch64.rpm 31 MB/s | 66 kB 00:00 (61/152): sed-4.8-11.fc37.aarch64.rpm 94 MB/s | 304 kB 00:00 (62/152): pkgconf-pkg-config-1.8.0-3.fc37.aarch 1.7 MB/s | 10 kB 00:00 (63/152): setup-2.14.1-2.fc37.noarch.rpm 40 MB/s | 149 kB 00:00 (64/152): unzip-6.0-58.fc37.aarch64.rpm 31 MB/s | 182 kB 00:00 (65/152): zip-3.0-33.fc37.aarch64.rpm 41 MB/s | 256 kB 00:00 (66/152): util-linux-core-2.38.1-1.fc37.aarch64 40 MB/s | 471 kB 00:00 (67/152): util-linux-2.38.1-1.fc37.aarch64.rpm 135 MB/s | 2.3 MB 00:00 (68/152): zlib-1.2.12-5.fc37.aarch64.rpm 17 MB/s | 93 kB 00:00 (69/152): alternatives-1.24-1.fc37.aarch64.rpm 9.6 MB/s | 38 kB 00:00 (70/152): ansible-srpm-macros-1-10.fc37.noarch. 8.5 MB/s | 20 kB 00:00 (71/152): authselect-1.4.2-1.fc37.aarch64.rpm 22 MB/s | 144 kB 00:00 (72/152): audit-libs-3.1.2-5.fc37.aarch64.rpm 11 MB/s | 118 kB 00:00 (73/152): authselect-libs-1.4.2-1.fc37.aarch64. 25 MB/s | 248 kB 00:00 (74/152): binutils-gold-2.38-27.fc37.aarch64.rp 60 MB/s | 919 kB 00:00 (75/152): bash-5.2.21-1.fc37.aarch64.rpm 41 MB/s | 1.8 MB 00:00 (76/152): ca-certificates-2023.2.60_v7.0.306-1. 19 MB/s | 837 kB 00:00 (77/152): coreutils-common-9.1-8.fc37.aarch64.r 108 MB/s | 2.0 MB 00:00 (78/152): coreutils-9.1-8.fc37.aarch64.rpm 26 MB/s | 1.0 MB 00:00 (79/152): debugedit-5.0-7.fc37.aarch64.rpm 23 MB/s | 77 kB 00:00 (80/152): curl-7.85.0-12.fc37.aarch64.rpm 33 MB/s | 311 kB 00:00 (81/152): elfutils-0.190-1.fc37.aarch64.rpm 88 MB/s | 549 kB 00:00 (82/152): elfutils-debuginfod-client-0.190-1.fc 16 MB/s | 37 kB 00:00 (83/152): elfutils-default-yama-scope-0.190-1.f 6.0 MB/s | 13 kB 00:00 (84/152): elfutils-libelf-0.190-1.fc37.aarch64. 22 MB/s | 194 kB 00:00 (85/152): elfutils-libs-0.190-1.fc37.aarch64.rp 24 MB/s | 257 kB 00:00 (86/152): fedora-gpg-keys-37-2.noarch.rpm 37 MB/s | 126 kB 00:00 (87/152): fedora-release-37-18.noarch.rpm 3.5 MB/s | 8.7 kB 00:00 (88/152): fedora-release-common-37-18.noarch.rp 8.5 MB/s | 19 kB 00:00 (89/152): fedora-release-identity-basic-37-18.n 5.2 MB/s | 9.4 kB 00:00 (90/152): fedora-repos-37-2.noarch.rpm 3.7 MB/s | 9.4 kB 00:00 (91/152): ghc-srpm-macros-1.6.1-1.fc37.noarch.r 1.9 MB/s | 8.0 kB 00:00 (92/152): gdb-minimal-13.2-3.fc37.aarch64.rpm 231 MB/s | 3.7 MB 00:00 (93/152): glibc-common-2.36-18.fc37.aarch64.rpm 35 MB/s | 348 kB 00:00 (94/152): binutils-2.38-27.fc37.aarch64.rpm 33 MB/s | 5.8 MB 00:00 (95/152): glibc-gconv-extra-2.36-18.fc37.aarch6 41 MB/s | 1.7 MB 00:00 (96/152): gnat-srpm-macros-5-1.fc37.noarch.rpm 3.2 MB/s | 8.3 kB 00:00 (97/152): glibc-minimal-langpack-2.36-18.fc37.a 13 MB/s | 71 kB 00:00 (98/152): go-srpm-macros-3.3.1-1.fc37.noarch.rp 7.5 MB/s | 28 kB 00:00 (99/152): glibc-2.36-18.fc37.aarch64.rpm 22 MB/s | 1.8 MB 00:00 (100/152): libarchive-3.6.1-3.fc37.aarch64.rpm 34 MB/s | 392 kB 00:00 (101/152): libeconf-0.5.2-1.fc37.aarch64.rpm 11 MB/s | 30 kB 00:00 (102/152): libffi-3.4.4-1.fc37.aarch64.rpm 11 MB/s | 35 kB 00:00 (103/152): libgcc-12.3.1-1.fc37.aarch64.rpm 27 MB/s | 99 kB 00:00 (104/152): libgomp-12.3.1-1.fc37.aarch64.rpm 60 MB/s | 298 kB 00:00 (105/152): krb5-libs-1.19.2-13.fc37.aarch64.rpm 23 MB/s | 731 kB 00:00 (106/152): libnghttp2-1.51.0-2.fc37.aarch64.rpm 18 MB/s | 73 kB 00:00 (107/152): libidn2-2.3.4-1.fc37.aarch64.rpm 15 MB/s | 159 kB 00:00 (108/152): libcurl-7.85.0-12.fc37.aarch64.rpm 10 MB/s | 297 kB 00:00 (109/152): libpwquality-1.4.5-3.fc37.aarch64.rp 15 MB/s | 119 kB 00:00 (110/152): libselinux-3.5-1.fc37.aarch64.rpm 17 MB/s | 86 kB 00:00 (111/152): libssh-0.10.5-1.fc37.aarch64.rpm 52 MB/s | 211 kB 00:00 (112/152): libsemanage-3.5-2.fc37.aarch64.rpm 13 MB/s | 116 kB 00:00 (113/152): libsepol-3.5-1.fc37.aarch64.rpm 30 MB/s | 311 kB 00:00 (114/152): libssh-config-0.10.5-1.fc37.noarch.r 1.9 MB/s | 8.6 kB 00:00 (115/152): libtasn1-4.19.0-1.fc37.aarch64.rpm 21 MB/s | 73 kB 00:00 (116/152): libtirpc-1.3.3-1.rc1.fc37.aarch64.rp 20 MB/s | 93 kB 00:00 (117/152): libxcrypt-4.4.36-1.fc37.aarch64.rpm 25 MB/s | 123 kB 00:00 (118/152): libzstd-1.5.5-1.fc37.aarch64.rpm 52 MB/s | 279 kB 00:00 (119/152): lua-libs-5.4.4-9.fc37.aarch64.rpm 30 MB/s | 129 kB 00:00 (120/152): lz4-libs-1.9.4-1.fc37.aarch64.rpm 20 MB/s | 67 kB 00:00 (121/152): libstdc++-12.3.1-1.fc37.aarch64.rpm 28 MB/s | 767 kB 00:00 (122/152): ncurses-base-6.4-3.20230114.fc37.noa 24 MB/s | 86 kB 00:00 (123/152): ncurses-libs-6.4-3.20230114.fc37.aar 73 MB/s | 319 kB 00:00 (124/152): libxml2-2.10.4-1.fc37.aarch64.rpm 25 MB/s | 686 kB 00:00 (125/152): openldap-2.6.6-1.fc37.aarch64.rpm 38 MB/s | 249 kB 00:00 (126/152): p11-kit-trust-0.25.2-1.fc37.aarch64. 22 MB/s | 140 kB 00:00 (127/152): openssl-libs-3.0.9-1.fc37.aarch64.rp 132 MB/s | 2.0 MB 00:00 (128/152): package-notes-srpm-macros-0.5-7.fc37 3.4 MB/s | 11 kB 00:00 (129/152): p11-kit-0.25.2-1.fc37.aarch64.rpm 37 MB/s | 501 kB 00:00 (130/152): pyproject-srpm-macros-1.10.0-1.fc37. 5.0 MB/s | 14 kB 00:00 (131/152): publicsuffix-list-dafsa-20230812-1.f 13 MB/s | 57 kB 00:00 (132/152): python-srpm-macros-3.11-6.fc37.noarc 7.7 MB/s | 24 kB 00:00 (133/152): qt5-srpm-macros-5.15.10-1.fc37.noarc 2.9 MB/s | 8.2 kB 00:00 (134/152): qt6-srpm-macros-6.5.1-2.fc37.noarch. 3.3 MB/s | 8.8 kB 00:00 (135/152): redhat-rpm-config-230-1.fc37.noarch. 35 MB/s | 78 kB 00:00 (136/152): rpm-build-4.18.2-1.fc37.aarch64.rpm 39 MB/s | 75 kB 00:00 (137/152): readline-8.2-2.fc37.aarch64.rpm 21 MB/s | 210 kB 00:00 (138/152): rpm-build-libs-4.18.2-1.fc37.aarch64 16 MB/s | 87 kB 00:00 (139/152): rpmautospec-rpm-macros-0.3.5-1.fc37. 3.7 MB/s | 9.3 kB 00:00 (140/152): rpm-4.18.2-1.fc37.aarch64.rpm 36 MB/s | 563 kB 00:00 (141/152): rust-srpm-macros-25.2-2.fc37.noarch. 3.5 MB/s | 12 kB 00:00 (142/152): rpm-libs-4.18.2-1.fc37.aarch64.rpm 30 MB/s | 309 kB 00:00 (143/152): systemd-libs-251.14-2.fc37.aarch64.r 42 MB/s | 588 kB 00:00 (144/152): shadow-utils-4.12.3-6.fc37.aarch64.r 48 MB/s | 1.3 MB 00:00 (145/152): sqlite-libs-3.40.0-1.fc37.aarch64.rp 22 MB/s | 661 kB 00:00 (146/152): which-2.21-39.fc37.aarch64.rpm 10 MB/s | 42 kB 00:00 (147/152): tzdata-2023c-1.fc37.noarch.rpm 72 MB/s | 718 kB 00:00 (148/152): xxhash-libs-0.8.2-1.fc37.aarch64.rpm 14 MB/s | 34 kB 00:00 (149/152): xz-5.4.1-1.fc37.aarch64.rpm 71 MB/s | 420 kB 00:00 (150/152): xz-libs-5.4.1-1.fc37.aarch64.rpm 15 MB/s | 106 kB 00:00 (151/152): zstd-1.5.5-1.fc37.aarch64.rpm 78 MB/s | 444 kB 00:00 (152/152): tar-1.34-6.fc37.aarch64.rpm 22 MB/s | 875 kB 00:00 -------------------------------------------------------------------------------- Total 53 MB/s | 51 MB 00:00 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x5323552A: Userid : "Fedora (37) " Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-2.fc37.aarch64 1/1 Preparing : 1/1 Installing : libgcc-12.3.1-1.fc37.aarch64 1/152 Running scriptlet: libgcc-12.3.1-1.fc37.aarch64 1/152 Installing : crypto-policies-20220815-1.gite4ed860.fc37.noarc 2/152 Running scriptlet: crypto-policies-20220815-1.gite4ed860.fc37.noarc 2/152 Installing : fedora-release-identity-basic-37-18.noarch 3/152 Installing : tzdata-2023c-1.fc37.noarch 4/152 Installing : rust-srpm-macros-25.2-2.fc37.noarch 5/152 Installing : qt6-srpm-macros-6.5.1-2.fc37.noarch 6/152 Installing : qt5-srpm-macros-5.15.10-1.fc37.noarch 7/152 Installing : publicsuffix-list-dafsa-20230812-1.fc37.noarch 8/152 Installing : package-notes-srpm-macros-0.5-7.fc37.noarch 9/152 Installing : ncurses-base-6.4-3.20230114.fc37.noarch 10/152 Installing : libssh-config-0.10.5-1.fc37.noarch 11/152 Installing : gnat-srpm-macros-5-1.fc37.noarch 12/152 Installing : ghc-srpm-macros-1.6.1-1.fc37.noarch 13/152 Installing : fedora-gpg-keys-37-2.noarch 14/152 Installing : fedora-release-37-18.noarch 15/152 Installing : fedora-repos-37-2.noarch 16/152 Installing : fedora-release-common-37-18.noarch 17/152 Installing : setup-2.14.1-2.fc37.noarch 18/152 Running scriptlet: setup-2.14.1-2.fc37.noarch 18/152 Installing : filesystem-3.18-2.fc37.aarch64 19/152 Installing : basesystem-11-14.fc37.noarch 20/152 Installing : glibc-gconv-extra-2.36-18.fc37.aarch64 21/152 Running scriptlet: glibc-gconv-extra-2.36-18.fc37.aarch64 21/152 Installing : glibc-minimal-langpack-2.36-18.fc37.aarch64 22/152 Installing : glibc-common-2.36-18.fc37.aarch64 23/152 Running scriptlet: glibc-2.36-18.fc37.aarch64 24/152 Installing : glibc-2.36-18.fc37.aarch64 24/152 Running scriptlet: glibc-2.36-18.fc37.aarch64 24/152 Installing : ncurses-libs-6.4-3.20230114.fc37.aarch64 25/152 Installing : bash-5.2.21-1.fc37.aarch64 26/152 Running scriptlet: bash-5.2.21-1.fc37.aarch64 26/152 Installing : zlib-1.2.12-5.fc37.aarch64 27/152 Installing : xz-libs-5.4.1-1.fc37.aarch64 28/152 Installing : bzip2-libs-1.0.8-12.fc37.aarch64 29/152 Installing : libzstd-1.5.5-1.fc37.aarch64 30/152 Installing : elfutils-libelf-0.190-1.fc37.aarch64 31/152 Installing : libuuid-2.38.1-1.fc37.aarch64 32/152 Installing : popt-1.19-1.fc37.aarch64 33/152 Installing : libstdc++-12.3.1-1.fc37.aarch64 34/152 Installing : libblkid-2.38.1-1.fc37.aarch64 35/152 Installing : readline-8.2-2.fc37.aarch64 36/152 Installing : gmp-1:6.2.1-3.fc37.aarch64 37/152 Installing : libattr-2.5.1-5.fc37.aarch64 38/152 Installing : libacl-2.3.1-4.fc37.aarch64 39/152 Installing : libcap-2.48-5.fc37.aarch64 40/152 Installing : libxcrypt-4.4.36-1.fc37.aarch64 41/152 Installing : libeconf-0.5.2-1.fc37.aarch64 42/152 Installing : lz4-libs-1.9.4-1.fc37.aarch64 43/152 Installing : systemd-libs-251.14-2.fc37.aarch64 44/152 Installing : mpfr-4.1.0-10.fc37.aarch64 45/152 Installing : dwz-0.14-7.fc37.aarch64 46/152 Installing : unzip-6.0-58.fc37.aarch64 47/152 Installing : file-libs-5.42-4.fc37.aarch64 48/152 Installing : file-5.42-4.fc37.aarch64 49/152 Installing : sqlite-libs-3.40.0-1.fc37.aarch64 50/152 Installing : libcap-ng-0.8.3-3.fc37.aarch64 51/152 Installing : audit-libs-3.1.2-5.fc37.aarch64 52/152 Installing : pam-libs-1.5.2-14.fc37.aarch64 53/152 Installing : libcom_err-1.46.5-3.fc37.aarch64 54/152 Installing : libsmartcols-2.38.1-1.fc37.aarch64 55/152 Installing : libunistring-1.0-2.fc37.aarch64 56/152 Installing : libidn2-2.3.4-1.fc37.aarch64 57/152 Installing : alternatives-1.24-1.fc37.aarch64 58/152 Installing : libsepol-3.5-1.fc37.aarch64 59/152 Installing : libtasn1-4.19.0-1.fc37.aarch64 60/152 Installing : lua-libs-5.4.4-9.fc37.aarch64 61/152 Installing : libpsl-0.21.1-6.fc37.aarch64 62/152 Installing : zip-3.0-33.fc37.aarch64 63/152 Installing : zstd-1.5.5-1.fc37.aarch64 64/152 Installing : libfdisk-2.38.1-1.fc37.aarch64 65/152 Installing : bzip2-1.0.8-12.fc37.aarch64 66/152 Installing : libxml2-2.10.4-1.fc37.aarch64 67/152 Installing : ed-1.18-2.fc37.aarch64 68/152 Installing : elfutils-default-yama-scope-0.190-1.fc37.noarch 69/152 Running scriptlet: elfutils-default-yama-scope-0.190-1.fc37.noarch 69/152 Installing : cpio-2.13-13.fc37.aarch64 70/152 Installing : diffutils-3.8-3.fc37.aarch64 71/152 Installing : gdbm-libs-1:1.23-2.fc37.aarch64 72/152 Installing : cyrus-sasl-lib-2.1.28-8.fc37.aarch64 73/152 Installing : keyutils-libs-1.6.1-5.fc37.aarch64 74/152 Installing : libbrotli-1.0.9-9.fc37.aarch64 75/152 Installing : libdb-5.3.28-53.fc37.aarch64 76/152 Installing : libpkgconf-1.8.0-3.fc37.aarch64 77/152 Installing : pkgconf-1.8.0-3.fc37.aarch64 78/152 Installing : libsigsegv-2.14-3.fc37.aarch64 79/152 Installing : gawk-5.1.1-4.fc37.aarch64 80/152 Installing : libverto-0.3.2-4.fc37.aarch64 81/152 Installing : pcre-8.45-1.fc37.2.aarch64 82/152 Installing : grep-3.7-4.fc37.aarch64 83/152 Installing : xz-5.4.1-1.fc37.aarch64 84/152 Installing : libffi-3.4.4-1.fc37.aarch64 85/152 Installing : p11-kit-0.25.2-1.fc37.aarch64 86/152 Installing : p11-kit-trust-0.25.2-1.fc37.aarch64 87/152 Running scriptlet: p11-kit-trust-0.25.2-1.fc37.aarch64 87/152 Installing : libgomp-12.3.1-1.fc37.aarch64 88/152 Installing : libnghttp2-1.51.0-2.fc37.aarch64 89/152 Installing : xxhash-libs-0.8.2-1.fc37.aarch64 90/152 Installing : coreutils-common-9.1-8.fc37.aarch64 91/152 Installing : ansible-srpm-macros-1-10.fc37.noarch 92/152 Installing : pkgconf-m4-1.8.0-3.fc37.noarch 93/152 Installing : pkgconf-pkg-config-1.8.0-3.fc37.aarch64 94/152 Installing : perl-srpm-macros-1-46.fc37.noarch 95/152 Installing : pcre2-syntax-10.40-1.fc37.1.noarch 96/152 Installing : pcre2-10.40-1.fc37.1.aarch64 97/152 Installing : libselinux-3.5-1.fc37.aarch64 98/152 Installing : sed-4.8-11.fc37.aarch64 99/152 Installing : findutils-1:4.9.0-2.fc37.aarch64 100/152 Installing : libmount-2.38.1-1.fc37.aarch64 101/152 Installing : util-linux-core-2.38.1-1.fc37.aarch64 102/152 Installing : openssl-libs-1:3.0.9-1.fc37.aarch64 103/152 Installing : coreutils-9.1-8.fc37.aarch64 104/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 105/152 Installing : ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 105/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 105/152 Installing : krb5-libs-1.19.2-13.fc37.aarch64 106/152 Installing : libtirpc-1.3.3-1.rc1.fc37.aarch64 107/152 Installing : gzip-1.12-2.fc37.aarch64 108/152 Running scriptlet: authselect-libs-1.4.2-1.fc37.aarch64 109/152 Installing : authselect-libs-1.4.2-1.fc37.aarch64 109/152 Installing : authselect-1.4.2-1.fc37.aarch64 110/152 Installing : cracklib-2.9.7-30.fc37.aarch64 111/152 Installing : libpwquality-1.4.5-3.fc37.aarch64 112/152 Installing : libnsl2-2.0.0-4.fc37.aarch64 113/152 Installing : pam-1.5.2-14.fc37.aarch64 114/152 Installing : libssh-0.10.5-1.fc37.aarch64 115/152 Installing : libevent-2.1.12-7.fc37.aarch64 116/152 Installing : openldap-2.6.6-1.fc37.aarch64 117/152 Installing : libcurl-7.85.0-12.fc37.aarch64 118/152 Installing : elfutils-debuginfod-client-0.190-1.fc37.aarch64 119/152 Installing : elfutils-libs-0.190-1.fc37.aarch64 120/152 Installing : binutils-gold-2.38-27.fc37.aarch64 121/152 Installing : binutils-2.38-27.fc37.aarch64 122/152 Running scriptlet: binutils-2.38-27.fc37.aarch64 122/152 Installing : elfutils-0.190-1.fc37.aarch64 123/152 Installing : gdb-minimal-13.2-3.fc37.aarch64 124/152 Installing : debugedit-5.0-7.fc37.aarch64 125/152 Installing : curl-7.85.0-12.fc37.aarch64 126/152 Installing : libarchive-3.6.1-3.fc37.aarch64 127/152 Running scriptlet: rpm-4.18.2-1.fc37.aarch64 128/152 Installing : rpm-4.18.2-1.fc37.aarch64 128/152 Installing : rpm-libs-4.18.2-1.fc37.aarch64 129/152 Installing : rpm-build-libs-4.18.2-1.fc37.aarch64 130/152 Installing : efi-srpm-macros-5-6.fc37.noarch 131/152 Installing : lua-srpm-macros-1-7.fc37.noarch 132/152 Installing : rpmautospec-rpm-macros-0.3.5-1.fc37.noarch 133/152 Installing : patch-2.7.6-17.fc37.aarch64 134/152 Installing : libsemanage-3.5-2.fc37.aarch64 135/152 Installing : shadow-utils-2:4.12.3-6.fc37.aarch64 136/152 Running scriptlet: libutempter-1.2.1-7.fc37.aarch64 137/152 Installing : libutempter-1.2.1-7.fc37.aarch64 137/152 Installing : tar-2:1.34-6.fc37.aarch64 138/152 Installing : openblas-srpm-macros-2-12.fc37.noarch 139/152 Installing : ocaml-srpm-macros-7-2.fc37.noarch 140/152 Installing : nim-srpm-macros-3-7.fc37.noarch 141/152 Installing : kernel-srpm-macros-1.0-15.fc37.noarch 142/152 Installing : fpc-srpm-macros-1.3-6.fc37.noarch 143/152 Installing : fonts-srpm-macros-1:2.0.5-9.fc37.noarch 144/152 Installing : go-srpm-macros-3.3.1-1.fc37.noarch 145/152 Installing : python-srpm-macros-3.11-6.fc37.noarch 146/152 Installing : redhat-rpm-config-230-1.fc37.noarch 147/152 Installing : rpm-build-4.18.2-1.fc37.aarch64 148/152 Installing : pyproject-srpm-macros-1.10.0-1.fc37.noarch 149/152 Installing : util-linux-2.38.1-1.fc37.aarch64 150/152 Installing : which-2.21-39.fc37.aarch64 151/152 Installing : info-6.8-4.fc37.aarch64 152/152 Running scriptlet: filesystem-3.18-2.fc37.aarch64 152/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 152/152 Running scriptlet: authselect-libs-1.4.2-1.fc37.aarch64 152/152 Running scriptlet: rpm-4.18.2-1.fc37.aarch64 152/152 Running scriptlet: info-6.8-4.fc37.aarch64 152/152 Verifying : basesystem-11-14.fc37.noarch 1/152 Verifying : bzip2-1.0.8-12.fc37.aarch64 2/152 Verifying : bzip2-libs-1.0.8-12.fc37.aarch64 3/152 Verifying : cpio-2.13-13.fc37.aarch64 4/152 Verifying : cracklib-2.9.7-30.fc37.aarch64 5/152 Verifying : crypto-policies-20220815-1.gite4ed860.fc37.noarc 6/152 Verifying : cyrus-sasl-lib-2.1.28-8.fc37.aarch64 7/152 Verifying : diffutils-3.8-3.fc37.aarch64 8/152 Verifying : dwz-0.14-7.fc37.aarch64 9/152 Verifying : ed-1.18-2.fc37.aarch64 10/152 Verifying : efi-srpm-macros-5-6.fc37.noarch 11/152 Verifying : file-5.42-4.fc37.aarch64 12/152 Verifying : file-libs-5.42-4.fc37.aarch64 13/152 Verifying : filesystem-3.18-2.fc37.aarch64 14/152 Verifying : findutils-1:4.9.0-2.fc37.aarch64 15/152 Verifying : fonts-srpm-macros-1:2.0.5-9.fc37.noarch 16/152 Verifying : fpc-srpm-macros-1.3-6.fc37.noarch 17/152 Verifying : gawk-5.1.1-4.fc37.aarch64 18/152 Verifying : gdbm-libs-1:1.23-2.fc37.aarch64 19/152 Verifying : gmp-1:6.2.1-3.fc37.aarch64 20/152 Verifying : grep-3.7-4.fc37.aarch64 21/152 Verifying : gzip-1.12-2.fc37.aarch64 22/152 Verifying : info-6.8-4.fc37.aarch64 23/152 Verifying : kernel-srpm-macros-1.0-15.fc37.noarch 24/152 Verifying : keyutils-libs-1.6.1-5.fc37.aarch64 25/152 Verifying : libacl-2.3.1-4.fc37.aarch64 26/152 Verifying : libattr-2.5.1-5.fc37.aarch64 27/152 Verifying : libblkid-2.38.1-1.fc37.aarch64 28/152 Verifying : libbrotli-1.0.9-9.fc37.aarch64 29/152 Verifying : libcap-2.48-5.fc37.aarch64 30/152 Verifying : libcap-ng-0.8.3-3.fc37.aarch64 31/152 Verifying : libcom_err-1.46.5-3.fc37.aarch64 32/152 Verifying : libdb-5.3.28-53.fc37.aarch64 33/152 Verifying : libevent-2.1.12-7.fc37.aarch64 34/152 Verifying : libfdisk-2.38.1-1.fc37.aarch64 35/152 Verifying : libmount-2.38.1-1.fc37.aarch64 36/152 Verifying : libnsl2-2.0.0-4.fc37.aarch64 37/152 Verifying : libpkgconf-1.8.0-3.fc37.aarch64 38/152 Verifying : libpsl-0.21.1-6.fc37.aarch64 39/152 Verifying : libsigsegv-2.14-3.fc37.aarch64 40/152 Verifying : libsmartcols-2.38.1-1.fc37.aarch64 41/152 Verifying : libunistring-1.0-2.fc37.aarch64 42/152 Verifying : libutempter-1.2.1-7.fc37.aarch64 43/152 Verifying : libuuid-2.38.1-1.fc37.aarch64 44/152 Verifying : libverto-0.3.2-4.fc37.aarch64 45/152 Verifying : lua-srpm-macros-1-7.fc37.noarch 46/152 Verifying : mpfr-4.1.0-10.fc37.aarch64 47/152 Verifying : nim-srpm-macros-3-7.fc37.noarch 48/152 Verifying : ocaml-srpm-macros-7-2.fc37.noarch 49/152 Verifying : openblas-srpm-macros-2-12.fc37.noarch 50/152 Verifying : pam-1.5.2-14.fc37.aarch64 51/152 Verifying : pam-libs-1.5.2-14.fc37.aarch64 52/152 Verifying : patch-2.7.6-17.fc37.aarch64 53/152 Verifying : pcre-8.45-1.fc37.2.aarch64 54/152 Verifying : pcre2-10.40-1.fc37.1.aarch64 55/152 Verifying : pcre2-syntax-10.40-1.fc37.1.noarch 56/152 Verifying : perl-srpm-macros-1-46.fc37.noarch 57/152 Verifying : pkgconf-1.8.0-3.fc37.aarch64 58/152 Verifying : pkgconf-m4-1.8.0-3.fc37.noarch 59/152 Verifying : pkgconf-pkg-config-1.8.0-3.fc37.aarch64 60/152 Verifying : popt-1.19-1.fc37.aarch64 61/152 Verifying : sed-4.8-11.fc37.aarch64 62/152 Verifying : setup-2.14.1-2.fc37.noarch 63/152 Verifying : unzip-6.0-58.fc37.aarch64 64/152 Verifying : util-linux-2.38.1-1.fc37.aarch64 65/152 Verifying : util-linux-core-2.38.1-1.fc37.aarch64 66/152 Verifying : zip-3.0-33.fc37.aarch64 67/152 Verifying : zlib-1.2.12-5.fc37.aarch64 68/152 Verifying : alternatives-1.24-1.fc37.aarch64 69/152 Verifying : ansible-srpm-macros-1-10.fc37.noarch 70/152 Verifying : audit-libs-3.1.2-5.fc37.aarch64 71/152 Verifying : authselect-1.4.2-1.fc37.aarch64 72/152 Verifying : authselect-libs-1.4.2-1.fc37.aarch64 73/152 Verifying : bash-5.2.21-1.fc37.aarch64 74/152 Verifying : binutils-2.38-27.fc37.aarch64 75/152 Verifying : binutils-gold-2.38-27.fc37.aarch64 76/152 Verifying : ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 77/152 Verifying : coreutils-9.1-8.fc37.aarch64 78/152 Verifying : coreutils-common-9.1-8.fc37.aarch64 79/152 Verifying : curl-7.85.0-12.fc37.aarch64 80/152 Verifying : debugedit-5.0-7.fc37.aarch64 81/152 Verifying : elfutils-0.190-1.fc37.aarch64 82/152 Verifying : elfutils-debuginfod-client-0.190-1.fc37.aarch64 83/152 Verifying : elfutils-default-yama-scope-0.190-1.fc37.noarch 84/152 Verifying : elfutils-libelf-0.190-1.fc37.aarch64 85/152 Verifying : elfutils-libs-0.190-1.fc37.aarch64 86/152 Verifying : fedora-gpg-keys-37-2.noarch 87/152 Verifying : fedora-release-37-18.noarch 88/152 Verifying : fedora-release-common-37-18.noarch 89/152 Verifying : fedora-release-identity-basic-37-18.noarch 90/152 Verifying : fedora-repos-37-2.noarch 91/152 Verifying : gdb-minimal-13.2-3.fc37.aarch64 92/152 Verifying : ghc-srpm-macros-1.6.1-1.fc37.noarch 93/152 Verifying : glibc-2.36-18.fc37.aarch64 94/152 Verifying : glibc-common-2.36-18.fc37.aarch64 95/152 Verifying : glibc-gconv-extra-2.36-18.fc37.aarch64 96/152 Verifying : glibc-minimal-langpack-2.36-18.fc37.aarch64 97/152 Verifying : gnat-srpm-macros-5-1.fc37.noarch 98/152 Verifying : go-srpm-macros-3.3.1-1.fc37.noarch 99/152 Verifying : krb5-libs-1.19.2-13.fc37.aarch64 100/152 Verifying : libarchive-3.6.1-3.fc37.aarch64 101/152 Verifying : libcurl-7.85.0-12.fc37.aarch64 102/152 Verifying : libeconf-0.5.2-1.fc37.aarch64 103/152 Verifying : libffi-3.4.4-1.fc37.aarch64 104/152 Verifying : libgcc-12.3.1-1.fc37.aarch64 105/152 Verifying : libgomp-12.3.1-1.fc37.aarch64 106/152 Verifying : libidn2-2.3.4-1.fc37.aarch64 107/152 Verifying : libnghttp2-1.51.0-2.fc37.aarch64 108/152 Verifying : libpwquality-1.4.5-3.fc37.aarch64 109/152 Verifying : libselinux-3.5-1.fc37.aarch64 110/152 Verifying : libsemanage-3.5-2.fc37.aarch64 111/152 Verifying : libsepol-3.5-1.fc37.aarch64 112/152 Verifying : libssh-0.10.5-1.fc37.aarch64 113/152 Verifying : libssh-config-0.10.5-1.fc37.noarch 114/152 Verifying : libstdc++-12.3.1-1.fc37.aarch64 115/152 Verifying : libtasn1-4.19.0-1.fc37.aarch64 116/152 Verifying : libtirpc-1.3.3-1.rc1.fc37.aarch64 117/152 Verifying : libxcrypt-4.4.36-1.fc37.aarch64 118/152 Verifying : libxml2-2.10.4-1.fc37.aarch64 119/152 Verifying : libzstd-1.5.5-1.fc37.aarch64 120/152 Verifying : lua-libs-5.4.4-9.fc37.aarch64 121/152 Verifying : lz4-libs-1.9.4-1.fc37.aarch64 122/152 Verifying : ncurses-base-6.4-3.20230114.fc37.noarch 123/152 Verifying : ncurses-libs-6.4-3.20230114.fc37.aarch64 124/152 Verifying : openldap-2.6.6-1.fc37.aarch64 125/152 Verifying : openssl-libs-1:3.0.9-1.fc37.aarch64 126/152 Verifying : p11-kit-0.25.2-1.fc37.aarch64 127/152 Verifying : p11-kit-trust-0.25.2-1.fc37.aarch64 128/152 Verifying : package-notes-srpm-macros-0.5-7.fc37.noarch 129/152 Verifying : publicsuffix-list-dafsa-20230812-1.fc37.noarch 130/152 Verifying : pyproject-srpm-macros-1.10.0-1.fc37.noarch 131/152 Verifying : python-srpm-macros-3.11-6.fc37.noarch 132/152 Verifying : qt5-srpm-macros-5.15.10-1.fc37.noarch 133/152 Verifying : qt6-srpm-macros-6.5.1-2.fc37.noarch 134/152 Verifying : readline-8.2-2.fc37.aarch64 135/152 Verifying : redhat-rpm-config-230-1.fc37.noarch 136/152 Verifying : rpm-4.18.2-1.fc37.aarch64 137/152 Verifying : rpm-build-4.18.2-1.fc37.aarch64 138/152 Verifying : rpm-build-libs-4.18.2-1.fc37.aarch64 139/152 Verifying : rpm-libs-4.18.2-1.fc37.aarch64 140/152 Verifying : rpmautospec-rpm-macros-0.3.5-1.fc37.noarch 141/152 Verifying : rust-srpm-macros-25.2-2.fc37.noarch 142/152 Verifying : shadow-utils-2:4.12.3-6.fc37.aarch64 143/152 Verifying : sqlite-libs-3.40.0-1.fc37.aarch64 144/152 Verifying : systemd-libs-251.14-2.fc37.aarch64 145/152 Verifying : tar-2:1.34-6.fc37.aarch64 146/152 Verifying : tzdata-2023c-1.fc37.noarch 147/152 Verifying : which-2.21-39.fc37.aarch64 148/152 Verifying : xxhash-libs-0.8.2-1.fc37.aarch64 149/152 Verifying : xz-5.4.1-1.fc37.aarch64 150/152 Verifying : xz-libs-5.4.1-1.fc37.aarch64 151/152 Verifying : zstd-1.5.5-1.fc37.aarch64 152/152 Installed: alternatives-1.24-1.fc37.aarch64 ansible-srpm-macros-1-10.fc37.noarch audit-libs-3.1.2-5.fc37.aarch64 authselect-1.4.2-1.fc37.aarch64 authselect-libs-1.4.2-1.fc37.aarch64 basesystem-11-14.fc37.noarch bash-5.2.21-1.fc37.aarch64 binutils-2.38-27.fc37.aarch64 binutils-gold-2.38-27.fc37.aarch64 bzip2-1.0.8-12.fc37.aarch64 bzip2-libs-1.0.8-12.fc37.aarch64 ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noarch coreutils-9.1-8.fc37.aarch64 coreutils-common-9.1-8.fc37.aarch64 cpio-2.13-13.fc37.aarch64 cracklib-2.9.7-30.fc37.aarch64 crypto-policies-20220815-1.gite4ed860.fc37.noarch curl-7.85.0-12.fc37.aarch64 cyrus-sasl-lib-2.1.28-8.fc37.aarch64 debugedit-5.0-7.fc37.aarch64 diffutils-3.8-3.fc37.aarch64 dwz-0.14-7.fc37.aarch64 ed-1.18-2.fc37.aarch64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.190-1.fc37.aarch64 elfutils-debuginfod-client-0.190-1.fc37.aarch64 elfutils-default-yama-scope-0.190-1.fc37.noarch elfutils-libelf-0.190-1.fc37.aarch64 elfutils-libs-0.190-1.fc37.aarch64 fedora-gpg-keys-37-2.noarch fedora-release-37-18.noarch fedora-release-common-37-18.noarch fedora-release-identity-basic-37-18.noarch fedora-repos-37-2.noarch file-5.42-4.fc37.aarch64 file-libs-5.42-4.fc37.aarch64 filesystem-3.18-2.fc37.aarch64 findutils-1:4.9.0-2.fc37.aarch64 fonts-srpm-macros-1:2.0.5-9.fc37.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.aarch64 gdb-minimal-13.2-3.fc37.aarch64 gdbm-libs-1:1.23-2.fc37.aarch64 ghc-srpm-macros-1.6.1-1.fc37.noarch glibc-2.36-18.fc37.aarch64 glibc-common-2.36-18.fc37.aarch64 glibc-gconv-extra-2.36-18.fc37.aarch64 glibc-minimal-langpack-2.36-18.fc37.aarch64 gmp-1:6.2.1-3.fc37.aarch64 gnat-srpm-macros-5-1.fc37.noarch go-srpm-macros-3.3.1-1.fc37.noarch grep-3.7-4.fc37.aarch64 gzip-1.12-2.fc37.aarch64 info-6.8-4.fc37.aarch64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.aarch64 krb5-libs-1.19.2-13.fc37.aarch64 libacl-2.3.1-4.fc37.aarch64 libarchive-3.6.1-3.fc37.aarch64 libattr-2.5.1-5.fc37.aarch64 libblkid-2.38.1-1.fc37.aarch64 libbrotli-1.0.9-9.fc37.aarch64 libcap-2.48-5.fc37.aarch64 libcap-ng-0.8.3-3.fc37.aarch64 libcom_err-1.46.5-3.fc37.aarch64 libcurl-7.85.0-12.fc37.aarch64 libdb-5.3.28-53.fc37.aarch64 libeconf-0.5.2-1.fc37.aarch64 libevent-2.1.12-7.fc37.aarch64 libfdisk-2.38.1-1.fc37.aarch64 libffi-3.4.4-1.fc37.aarch64 libgcc-12.3.1-1.fc37.aarch64 libgomp-12.3.1-1.fc37.aarch64 libidn2-2.3.4-1.fc37.aarch64 libmount-2.38.1-1.fc37.aarch64 libnghttp2-1.51.0-2.fc37.aarch64 libnsl2-2.0.0-4.fc37.aarch64 libpkgconf-1.8.0-3.fc37.aarch64 libpsl-0.21.1-6.fc37.aarch64 libpwquality-1.4.5-3.fc37.aarch64 libselinux-3.5-1.fc37.aarch64 libsemanage-3.5-2.fc37.aarch64 libsepol-3.5-1.fc37.aarch64 libsigsegv-2.14-3.fc37.aarch64 libsmartcols-2.38.1-1.fc37.aarch64 libssh-0.10.5-1.fc37.aarch64 libssh-config-0.10.5-1.fc37.noarch libstdc++-12.3.1-1.fc37.aarch64 libtasn1-4.19.0-1.fc37.aarch64 libtirpc-1.3.3-1.rc1.fc37.aarch64 libunistring-1.0-2.fc37.aarch64 libutempter-1.2.1-7.fc37.aarch64 libuuid-2.38.1-1.fc37.aarch64 libverto-0.3.2-4.fc37.aarch64 libxcrypt-4.4.36-1.fc37.aarch64 libxml2-2.10.4-1.fc37.aarch64 libzstd-1.5.5-1.fc37.aarch64 lua-libs-5.4.4-9.fc37.aarch64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.4-1.fc37.aarch64 mpfr-4.1.0-10.fc37.aarch64 ncurses-base-6.4-3.20230114.fc37.noarch ncurses-libs-6.4-3.20230114.fc37.aarch64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.6-1.fc37.aarch64 openssl-libs-1:3.0.9-1.fc37.aarch64 p11-kit-0.25.2-1.fc37.aarch64 p11-kit-trust-0.25.2-1.fc37.aarch64 package-notes-srpm-macros-0.5-7.fc37.noarch pam-1.5.2-14.fc37.aarch64 pam-libs-1.5.2-14.fc37.aarch64 patch-2.7.6-17.fc37.aarch64 pcre-8.45-1.fc37.2.aarch64 pcre2-10.40-1.fc37.1.aarch64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-46.fc37.noarch pkgconf-1.8.0-3.fc37.aarch64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.aarch64 popt-1.19-1.fc37.aarch64 publicsuffix-list-dafsa-20230812-1.fc37.noarch pyproject-srpm-macros-1.10.0-1.fc37.noarch python-srpm-macros-3.11-6.fc37.noarch qt5-srpm-macros-5.15.10-1.fc37.noarch qt6-srpm-macros-6.5.1-2.fc37.noarch readline-8.2-2.fc37.aarch64 redhat-rpm-config-230-1.fc37.noarch rpm-4.18.2-1.fc37.aarch64 rpm-build-4.18.2-1.fc37.aarch64 rpm-build-libs-4.18.2-1.fc37.aarch64 rpm-libs-4.18.2-1.fc37.aarch64 rpmautospec-rpm-macros-0.3.5-1.fc37.noarch rust-srpm-macros-25.2-2.fc37.noarch sed-4.8-11.fc37.aarch64 setup-2.14.1-2.fc37.noarch shadow-utils-2:4.12.3-6.fc37.aarch64 sqlite-libs-3.40.0-1.fc37.aarch64 systemd-libs-251.14-2.fc37.aarch64 tar-2:1.34-6.fc37.aarch64 tzdata-2023c-1.fc37.noarch unzip-6.0-58.fc37.aarch64 util-linux-2.38.1-1.fc37.aarch64 util-linux-core-2.38.1-1.fc37.aarch64 which-2.21-39.fc37.aarch64 xxhash-libs-0.8.2-1.fc37.aarch64 xz-5.4.1-1.fc37.aarch64 xz-libs-5.4.1-1.fc37.aarch64 zip-3.0-33.fc37.aarch64 zlib-1.2.12-5.fc37.aarch64 zstd-1.5.5-1.fc37.aarch64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.24-1.fc37.aarch64 ansible-srpm-macros-1-10.fc37.noarch audit-libs-3.1.2-5.fc37.aarch64 authselect-1.4.2-1.fc37.aarch64 authselect-libs-1.4.2-1.fc37.aarch64 basesystem-11-14.fc37.noarch bash-5.2.21-1.fc37.aarch64 binutils-2.38-27.fc37.aarch64 binutils-gold-2.38-27.fc37.aarch64 bzip2-1.0.8-12.fc37.aarch64 bzip2-libs-1.0.8-12.fc37.aarch64 ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noarch coreutils-9.1-8.fc37.aarch64 coreutils-common-9.1-8.fc37.aarch64 cpio-2.13-13.fc37.aarch64 cracklib-2.9.7-30.fc37.aarch64 crypto-policies-20220815-1.gite4ed860.fc37.noarch curl-7.85.0-12.fc37.aarch64 cyrus-sasl-lib-2.1.28-8.fc37.aarch64 debugedit-5.0-7.fc37.aarch64 diffutils-3.8-3.fc37.aarch64 dwz-0.14-7.fc37.aarch64 ed-1.18-2.fc37.aarch64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.190-1.fc37.aarch64 elfutils-debuginfod-client-0.190-1.fc37.aarch64 elfutils-default-yama-scope-0.190-1.fc37.noarch elfutils-libelf-0.190-1.fc37.aarch64 elfutils-libs-0.190-1.fc37.aarch64 fedora-gpg-keys-37-2.noarch fedora-release-37-18.noarch fedora-release-common-37-18.noarch fedora-release-identity-basic-37-18.noarch fedora-repos-37-2.noarch file-5.42-4.fc37.aarch64 file-libs-5.42-4.fc37.aarch64 filesystem-3.18-2.fc37.aarch64 findutils-4.9.0-2.fc37.aarch64 fonts-srpm-macros-2.0.5-9.fc37.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.aarch64 gdb-minimal-13.2-3.fc37.aarch64 gdbm-libs-1.23-2.fc37.aarch64 ghc-srpm-macros-1.6.1-1.fc37.noarch glibc-2.36-18.fc37.aarch64 glibc-common-2.36-18.fc37.aarch64 glibc-gconv-extra-2.36-18.fc37.aarch64 glibc-minimal-langpack-2.36-18.fc37.aarch64 gmp-6.2.1-3.fc37.aarch64 gnat-srpm-macros-5-1.fc37.noarch go-srpm-macros-3.3.1-1.fc37.noarch gpg-pubkey-5323552a-6112bcdc grep-3.7-4.fc37.aarch64 gzip-1.12-2.fc37.aarch64 info-6.8-4.fc37.aarch64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.aarch64 krb5-libs-1.19.2-13.fc37.aarch64 libacl-2.3.1-4.fc37.aarch64 libarchive-3.6.1-3.fc37.aarch64 libattr-2.5.1-5.fc37.aarch64 libblkid-2.38.1-1.fc37.aarch64 libbrotli-1.0.9-9.fc37.aarch64 libcap-2.48-5.fc37.aarch64 libcap-ng-0.8.3-3.fc37.aarch64 libcom_err-1.46.5-3.fc37.aarch64 libcurl-7.85.0-12.fc37.aarch64 libdb-5.3.28-53.fc37.aarch64 libeconf-0.5.2-1.fc37.aarch64 libevent-2.1.12-7.fc37.aarch64 libfdisk-2.38.1-1.fc37.aarch64 libffi-3.4.4-1.fc37.aarch64 libgcc-12.3.1-1.fc37.aarch64 libgomp-12.3.1-1.fc37.aarch64 libidn2-2.3.4-1.fc37.aarch64 libmount-2.38.1-1.fc37.aarch64 libnghttp2-1.51.0-2.fc37.aarch64 libnsl2-2.0.0-4.fc37.aarch64 libpkgconf-1.8.0-3.fc37.aarch64 libpsl-0.21.1-6.fc37.aarch64 libpwquality-1.4.5-3.fc37.aarch64 libselinux-3.5-1.fc37.aarch64 libsemanage-3.5-2.fc37.aarch64 libsepol-3.5-1.fc37.aarch64 libsigsegv-2.14-3.fc37.aarch64 libsmartcols-2.38.1-1.fc37.aarch64 libssh-0.10.5-1.fc37.aarch64 libssh-config-0.10.5-1.fc37.noarch libstdc++-12.3.1-1.fc37.aarch64 libtasn1-4.19.0-1.fc37.aarch64 libtirpc-1.3.3-1.rc1.fc37.aarch64 libunistring-1.0-2.fc37.aarch64 libutempter-1.2.1-7.fc37.aarch64 libuuid-2.38.1-1.fc37.aarch64 libverto-0.3.2-4.fc37.aarch64 libxcrypt-4.4.36-1.fc37.aarch64 libxml2-2.10.4-1.fc37.aarch64 libzstd-1.5.5-1.fc37.aarch64 lua-libs-5.4.4-9.fc37.aarch64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.4-1.fc37.aarch64 mpfr-4.1.0-10.fc37.aarch64 ncurses-base-6.4-3.20230114.fc37.noarch ncurses-libs-6.4-3.20230114.fc37.aarch64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.6-1.fc37.aarch64 openssl-libs-3.0.9-1.fc37.aarch64 p11-kit-0.25.2-1.fc37.aarch64 p11-kit-trust-0.25.2-1.fc37.aarch64 package-notes-srpm-macros-0.5-7.fc37.noarch pam-1.5.2-14.fc37.aarch64 pam-libs-1.5.2-14.fc37.aarch64 patch-2.7.6-17.fc37.aarch64 pcre-8.45-1.fc37.2.aarch64 pcre2-10.40-1.fc37.1.aarch64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-46.fc37.noarch pkgconf-1.8.0-3.fc37.aarch64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.aarch64 popt-1.19-1.fc37.aarch64 publicsuffix-list-dafsa-20230812-1.fc37.noarch pyproject-srpm-macros-1.10.0-1.fc37.noarch python-srpm-macros-3.11-6.fc37.noarch qt5-srpm-macros-5.15.10-1.fc37.noarch qt6-srpm-macros-6.5.1-2.fc37.noarch readline-8.2-2.fc37.aarch64 redhat-rpm-config-230-1.fc37.noarch rpm-4.18.2-1.fc37.aarch64 rpm-build-4.18.2-1.fc37.aarch64 rpm-build-libs-4.18.2-1.fc37.aarch64 rpm-libs-4.18.2-1.fc37.aarch64 rpmautospec-rpm-macros-0.3.5-1.fc37.noarch rust-srpm-macros-25.2-2.fc37.noarch sed-4.8-11.fc37.aarch64 setup-2.14.1-2.fc37.noarch shadow-utils-4.12.3-6.fc37.aarch64 sqlite-libs-3.40.0-1.fc37.aarch64 systemd-libs-251.14-2.fc37.aarch64 tar-1.34-6.fc37.aarch64 tzdata-2023c-1.fc37.noarch unzip-6.0-58.fc37.aarch64 util-linux-2.38.1-1.fc37.aarch64 util-linux-core-2.38.1-1.fc37.aarch64 which-2.21-39.fc37.aarch64 xxhash-libs-0.8.2-1.fc37.aarch64 xz-5.4.1-1.fc37.aarch64 xz-libs-5.4.1-1.fc37.aarch64 zip-3.0-33.fc37.aarch64 zlib-1.2.12-5.fc37.aarch64 zstd-1.5.5-1.fc37.aarch64 Start: buildsrpm Start: rpmbuild -bs warning: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm RPM build warnings: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-37-aarch64-1701307022.946934/root/var/log/dnf.rpm.log /var/lib/mock/fedora-37-aarch64-1701307022.946934/root/var/log/dnf.librepo.log /var/lib/mock/fedora-37-aarch64-1701307022.946934/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-w9gma9o_/yosys/yosys.spec) Config(child) 1 minutes 33 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm) Config(fedora-37-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-bootstrap-1701307022.946934/root. INFO: reusing tmpfs at /var/lib/mock/fedora-37-aarch64-bootstrap-1701307022.946934/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-aarch64-1701307022.946934/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Finish: chroot init INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.18.2-1.fc37.aarch64 python3-dnf-4.18.0-2.fc37.noarch python3-dnf-plugins-core-4.4.3-1.fc37.noarch yum-4.18.0-2.fc37.noarch Start: build phase for yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm Start: build setup for yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm warning: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm RPM build warnings: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 36 kB/s | 2.1 kB 00:00 Additional repo copr_rezso_ML 55 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_CUDA 54 kB/s | 1.8 kB 00:00 Additional repo http_developer_download_nvidia_ 924 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 1.1 MB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 996 kB/s | 3.5 kB 00:00 fedora 167 kB/s | 14 kB 00:00 updates 123 kB/s | 13 kB 00:00 Package pkgconf-pkg-config-1.8.0-3.fc37.aarch64 is already installed. Dependencies resolved. ================================================================================================== Package Arch Version Repo Size ================================================================================================== Installing: abc aarch64 1.02-20231114.0.git6ca7eab4.fc37 copr_base 19 k bison aarch64 3.8.2-3.fc37 fedora 994 k flex aarch64 2.6.4-11.fc37 fedora 305 k gcc-c++ aarch64 12.3.1-1.fc37 updates 11 M git aarch64 2.42.0-2.fc37 updates 53 k graphviz aarch64 5.0.0-4.fc37 fedora 4.9 M iverilog aarch64 13.0-20231116.0.gitc9548f03.fc37 copr_base 2.1 M libffi-devel aarch64 3.4.4-1.fc37 updates 27 k python3 aarch64 3.11.6-1.fc37 updates 28 k readline-devel aarch64 8.2-2.fc37 updates 207 k tcl-devel aarch64 1:8.6.12-3.fc37 fedora 169 k txt2man noarch 1.7.1-3.fc37 fedora 28 k Installing dependencies: abc-libs aarch64 1.02-20231114.0.git6ca7eab4.fc37 copr_base 4.5 M adobe-mappings-cmap noarch 20230622-1.fc37 updates 2.1 M adobe-mappings-cmap-deprecated noarch 20230622-1.fc37 updates 114 k adobe-mappings-pdf noarch 20190401-2.fc37 fedora 697 k annobin-docs noarch 12.28-1.fc37 updates 91 k annobin-plugin-gcc aarch64 12.28-1.fc37 updates 956 k avahi-libs aarch64 0.8-18.fc37 updates 67 k cairo aarch64 1.17.6-2.fc37 fedora 659 k cairo-gobject aarch64 1.17.6-2.fc37 fedora 18 k cpp aarch64 12.3.1-1.fc37 updates 9.2 M crypto-policies-scripts noarch 20220815-1.gite4ed860.fc37 fedora 110 k cups-libs aarch64 1:2.4.7-2.fc37 updates 266 k dbus-libs aarch64 1:1.14.10-1.fc37 updates 154 k expat aarch64 2.5.0-1.fc37 updates 106 k fontconfig aarch64 2.14.1-2.fc37 updates 299 k fonts-filesystem noarch 1:2.0.5-9.fc37 fedora 7.9 k freetype aarch64 2.12.1-3.fc37 fedora 399 k fribidi aarch64 1.0.12-2.fc37 fedora 88 k gc aarch64 8.0.6-4.fc37 fedora 102 k gcc aarch64 12.3.1-1.fc37 updates 29 M gcc-plugin-annobin aarch64 12.3.1-1.fc37 updates 59 k gd aarch64 2.3.3-9.fc37 updates 133 k gdk-pixbuf2 aarch64 2.42.10-1.fc37 fedora 483 k git-core aarch64 2.42.0-2.fc37 updates 4.5 M git-core-doc noarch 2.42.0-2.fc37 updates 2.8 M glib2 aarch64 2.74.7-2.fc37 updates 2.7 M glibc-devel aarch64 2.36-18.fc37 updates 572 k gnutls aarch64 3.8.1-1.fc37 updates 1.1 M google-droid-sans-fonts noarch 20200215-13.fc37 fedora 2.7 M google-noto-fonts-common noarch 20201206^1.git0c78c8329-8.fc37 updates 18 k google-noto-sans-vf-fonts noarch 20201206^1.git0c78c8329-8.fc37 updates 728 k graphite2 aarch64 1.3.14-10.fc37 fedora 92 k groff-base aarch64 1.22.4-10.fc37 fedora 1.0 M gts aarch64 0.7.6-42.20121130.fc37 fedora 233 k guile22 aarch64 2.2.7-6.fc37 fedora 6.5 M harfbuzz aarch64 5.2.0-1.fc37 fedora 772 k highway aarch64 1.0.7-1.fc37 updates 95 k jbig2dec-libs aarch64 0.19-7.fc37 fedora 72 k jbigkit-libs aarch64 2.1-24.fc37 fedora 53 k kernel-headers aarch64 6.5.4-100.fc37 updates 1.5 M langpacks-core-font-en noarch 3.0-26.fc37 fedora 10 k lasi aarch64 1.1.3-9.fc37 fedora 52 k lcms2 aarch64 2.14-1.fc37 updates 174 k less aarch64 633-1.fc37 updates 175 k libX11 aarch64 1.8.4-1.fc37 updates 637 k libX11-common noarch 1.8.4-1.fc37 updates 177 k libXau aarch64 1.0.10-1.fc37 fedora 31 k libXext aarch64 1.3.4-9.fc37 fedora 38 k libXft aarch64 2.3.4-3.fc37 fedora 62 k libXpm aarch64 3.5.15-2.fc37 updates 60 k libXrender aarch64 0.9.10-17.fc37 fedora 26 k libaom aarch64 3.7.0-1.fc37 updates 1.4 M libasan aarch64 12.3.1-1.fc37 updates 433 k libatomic aarch64 12.3.1-1.fc37 updates 48 k libavif aarch64 0.11.1-4.fc37 updates 79 k libb2 aarch64 0.98.1-7.fc37 fedora 24 k libcbor aarch64 0.7.0-7.fc37 fedora 55 k libdatrie aarch64 0.2.13-4.fc37 fedora 32 k libdav1d aarch64 1.2.1-1.fc37 updates 348 k libedit aarch64 3.1-43.20221009cvs.fc37 updates 105 k libfido2 aarch64 1.11.0-3.fc37 fedora 96 k libfontenc aarch64 1.1.4-4.fc37 fedora 31 k libgs aarch64 9.56.1-9.fc37 updates 3.5 M libijs aarch64 0.35-16.fc37 fedora 29 k libimagequant aarch64 2.17.0-3.fc37 fedora 63 k libjpeg-turbo aarch64 2.1.3-2.fc37 fedora 195 k libjxl aarch64 1:0.7.0-5.fc37 updates 677 k libmpc aarch64 1.2.1-5.fc37 fedora 62 k libpaper aarch64 1.1.28-5.fc37 fedora 46 k libpng aarch64 2:1.6.37-13.fc37 fedora 114 k librsvg2 aarch64 2.54.6-1.fc37 updates 3.4 M libstdc++-devel aarch64 12.3.1-1.fc37 updates 2.5 M libthai aarch64 0.1.29-3.fc37 fedora 212 k libtiff aarch64 4.4.0-4.fc37 fedora 191 k libtool-ltdl aarch64 2.4.7-2.fc37 fedora 37 k libubsan aarch64 12.3.1-1.fc37 updates 198 k libwebp aarch64 1.3.2-2.fc37 updates 244 k libxcb aarch64 1.13.1-10.fc37 fedora 236 k libxcrypt-devel aarch64 4.4.36-1.fc37 updates 29 k m4 aarch64 1.4.19-4.fc37 fedora 299 k make aarch64 1:4.3-11.fc37 fedora 537 k mkfontscale aarch64 1.2.2-2.fc37 fedora 31 k mpdecimal aarch64 2.5.1-4.fc37 fedora 102 k ncurses aarch64 6.4-3.20230114.fc37 updates 410 k ncurses-c++-libs aarch64 6.4-3.20230114.fc37 updates 36 k ncurses-devel aarch64 6.4-3.20230114.fc37 updates 549 k netpbm aarch64 11.02.00-1.fc37 updates 182 k nettle aarch64 3.8-2.fc37 fedora 421 k nspr aarch64 4.35.0-11.fc37 updates 133 k nss aarch64 3.94.0-2.fc37 updates 689 k nss-softokn aarch64 3.94.0-2.fc37 updates 391 k nss-softokn-freebl aarch64 3.94.0-2.fc37 updates 328 k nss-sysinit aarch64 3.94.0-2.fc37 updates 18 k nss-util aarch64 3.94.0-2.fc37 updates 85 k openjpeg2 aarch64 2.5.0-5.fc37 updates 173 k openssh aarch64 8.8p1-11.fc37 updates 439 k openssh-clients aarch64 8.8p1-11.fc37 updates 669 k pango aarch64 1.50.14-1.fc37 updates 337 k perl-Carp noarch 1.52-489.fc37 fedora 29 k perl-Class-Struct noarch 0.66-494.fc37 updates 23 k perl-DynaLoader aarch64 1.52-494.fc37 updates 27 k perl-Encode aarch64 4:3.19-492.fc37 fedora 1.7 M perl-Errno aarch64 1.36-494.fc37 updates 16 k perl-Error noarch 1:0.17029-10.fc37 fedora 41 k perl-Exporter noarch 5.77-489.fc37 fedora 31 k perl-Fcntl aarch64 1.15-494.fc37 updates 22 k perl-File-Basename noarch 2.85-494.fc37 updates 18 k perl-File-Find noarch 1.40-494.fc37 updates 26 k perl-File-Path noarch 2.18-489.fc37 fedora 35 k perl-File-Temp noarch 1:0.231.100-489.fc37 fedora 59 k perl-File-stat noarch 1.12-494.fc37 updates 18 k perl-Getopt-Long noarch 1:2.54-1.fc37 updates 60 k perl-Getopt-Std noarch 1.13-494.fc37 updates 17 k perl-Git noarch 2.42.0-2.fc37 updates 41 k perl-HTTP-Tiny noarch 0.086-1.fc37 updates 55 k perl-IO aarch64 1.50-494.fc37 updates 93 k perl-IPC-Open3 noarch 1.22-494.fc37 updates 24 k perl-MIME-Base64 aarch64 3.16-489.fc37 fedora 30 k perl-POSIX aarch64 2.03-494.fc37 updates 99 k perl-PathTools aarch64 3.84-489.fc37 fedora 90 k perl-Pod-Escapes noarch 1:1.07-489.fc37 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-490.fc37 fedora 90 k perl-Pod-Simple noarch 1:3.43-490.fc37 fedora 225 k perl-Pod-Usage noarch 4:2.03-3.fc37 fedora 40 k perl-Scalar-List-Utils aarch64 5:1.63-489.fc37 fedora 71 k perl-SelectSaver noarch 1.02-494.fc37 updates 13 k perl-Socket aarch64 4:2.036-1.fc37 fedora 55 k perl-Storable aarch64 1:3.26-489.fc37 fedora 95 k perl-Symbol noarch 1.09-494.fc37 updates 15 k perl-Term-ANSIColor noarch 5.01-490.fc37 fedora 48 k perl-Term-Cap noarch 1.17-489.fc37 fedora 22 k perl-TermReadKey aarch64 2.38-14.fc37 fedora 36 k perl-Text-ParseWords noarch 3.31-489.fc37 fedora 16 k perl-Text-Tabs+Wrap noarch 2023.0511-1.fc37 updates 23 k perl-Time-Local noarch 2:1.300-489.fc37 fedora 33 k perl-constant noarch 1.33-490.fc37 fedora 23 k perl-if noarch 0.61.000-494.fc37 updates 15 k perl-interpreter aarch64 4:5.36.1-494.fc37 updates 73 k perl-lib aarch64 0.65-494.fc37 updates 16 k perl-libs aarch64 4:5.36.1-494.fc37 updates 2.2 M perl-locale noarch 1.10-494.fc37 updates 15 k perl-mro aarch64 1.26-494.fc37 updates 29 k perl-overload noarch 1.35-494.fc37 updates 47 k perl-overloading noarch 0.02-494.fc37 updates 14 k perl-parent noarch 1:0.238-489.fc37 fedora 14 k perl-podlators noarch 1:4.14-489.fc37 fedora 116 k perl-subs noarch 1.04-494.fc37 updates 13 k perl-vars noarch 1.05-494.fc37 updates 14 k pixman aarch64 0.40.0-6.fc37 fedora 169 k poppler aarch64 22.08.0-4.fc37 updates 1.1 M poppler-data noarch 0.4.11-3.fc37 fedora 2.0 M poppler-glib aarch64 22.08.0-4.fc37 updates 165 k python-pip-wheel noarch 22.2.2-3.fc37 updates 1.4 M python-setuptools-wheel noarch 62.6.0-3.fc37 updates 711 k python3-libs aarch64 3.11.6-1.fc37 updates 9.5 M rav1e-libs aarch64 0.6.6-3.fc37 updates 774 k shared-mime-info aarch64 2.2-2.fc37 fedora 381 k tcl aarch64 1:8.6.12-3.fc37 fedora 1.1 M urw-base35-bookman-fonts noarch 20200910-15.fc37 fedora 848 k urw-base35-c059-fonts noarch 20200910-15.fc37 fedora 875 k urw-base35-d050000l-fonts noarch 20200910-15.fc37 fedora 76 k urw-base35-fonts noarch 20200910-15.fc37 fedora 11 k urw-base35-fonts-common noarch 20200910-15.fc37 fedora 21 k urw-base35-gothic-fonts noarch 20200910-15.fc37 fedora 643 k urw-base35-nimbus-mono-ps-fonts noarch 20200910-15.fc37 fedora 796 k urw-base35-nimbus-roman-fonts noarch 20200910-15.fc37 fedora 857 k urw-base35-nimbus-sans-fonts noarch 20200910-15.fc37 fedora 1.3 M urw-base35-p052-fonts noarch 20200910-15.fc37 fedora 974 k urw-base35-standard-symbols-ps-fonts noarch 20200910-15.fc37 fedora 42 k urw-base35-z003-fonts noarch 20200910-15.fc37 fedora 276 k xml-common noarch 0.6.3-59.fc37 fedora 31 k xorg-x11-fonts-ISO8859-1-100dpi noarch 7.5-34.fc37 fedora 1.1 M zlib-devel aarch64 1.2.12-5.fc37 fedora 44 k Transaction Summary ================================================================================================== Install 185 Packages Total download size: 147 M Installed size: 531 M Downloading Packages: (1/185): abc-1.02-20231114.0.git6ca7eab4.fc37.a 191 kB/s | 19 kB 00:00 (2/185): iverilog-13.0-20231116.0.gitc9548f03.f 16 MB/s | 2.1 MB 00:00 (3/185): abc-libs-1.02-20231114.0.git6ca7eab4.f 33 MB/s | 4.5 MB 00:00 (4/185): cairo-1.17.6-2.fc37.aarch64.rpm 50 MB/s | 659 kB 00:00 (5/185): cairo-gobject-1.17.6-2.fc37.aarch64.rp 11 MB/s | 18 kB 00:00 (6/185): crypto-policies-scripts-20220815-1.git 49 MB/s | 110 kB 00:00 (7/185): adobe-mappings-pdf-20190401-2.fc37.noa 11 MB/s | 697 kB 00:00 (8/185): fonts-filesystem-2.0.5-9.fc37.noarch.r 3.3 MB/s | 7.9 kB 00:00 (9/185): freetype-2.12.1-3.fc37.aarch64.rpm 99 MB/s | 399 kB 00:00 (10/185): flex-2.6.4-11.fc37.aarch64.rpm 23 MB/s | 305 kB 00:00 (11/185): fribidi-1.0.12-2.fc37.aarch64.rpm 17 MB/s | 88 kB 00:00 (12/185): gc-8.0.6-4.fc37.aarch64.rpm 20 MB/s | 102 kB 00:00 (13/185): bison-3.8.2-3.fc37.aarch64.rpm 23 MB/s | 994 kB 00:00 (14/185): graphite2-1.3.14-10.fc37.aarch64.rpm 35 MB/s | 92 kB 00:00 (15/185): google-droid-sans-fonts-20200215-13.f 178 MB/s | 2.7 MB 00:00 (16/185): gdk-pixbuf2-2.42.10-1.fc37.aarch64.rp 25 MB/s | 483 kB 00:00 (17/185): groff-base-1.22.4-10.fc37.aarch64.rpm 195 MB/s | 1.0 MB 00:00 (18/185): gts-0.7.6-42.20121130.fc37.aarch64.rp 47 MB/s | 233 kB 00:00 (19/185): harfbuzz-5.2.0-1.fc37.aarch64.rpm 61 MB/s | 772 kB 00:00 (20/185): jbig2dec-libs-0.19-7.fc37.aarch64.rpm 22 MB/s | 72 kB 00:00 (21/185): jbigkit-libs-2.1-24.fc37.aarch64.rpm 30 MB/s | 53 kB 00:00 (22/185): langpacks-core-font-en-3.0-26.fc37.no 4.4 MB/s | 10 kB 00:00 (23/185): lasi-1.1.3-9.fc37.aarch64.rpm 28 MB/s | 52 kB 00:00 (24/185): libXau-1.0.10-1.fc37.aarch64.rpm 9.8 MB/s | 31 kB 00:00 (25/185): libXext-1.3.4-9.fc37.aarch64.rpm 10 MB/s | 38 kB 00:00 (26/185): libXft-2.3.4-3.fc37.aarch64.rpm 13 MB/s | 62 kB 00:00 (27/185): libXrender-0.9.10-17.fc37.aarch64.rpm 6.7 MB/s | 26 kB 00:00 (28/185): libb2-0.98.1-7.fc37.aarch64.rpm 5.2 MB/s | 24 kB 00:00 (29/185): libcbor-0.7.0-7.fc37.aarch64.rpm 15 MB/s | 55 kB 00:00 (30/185): libdatrie-0.2.13-4.fc37.aarch64.rpm 9.5 MB/s | 32 kB 00:00 (31/185): libfido2-1.11.0-3.fc37.aarch64.rpm 18 MB/s | 96 kB 00:00 (32/185): libfontenc-1.1.4-4.fc37.aarch64.rpm 7.9 MB/s | 31 kB 00:00 (33/185): libijs-0.35-16.fc37.aarch64.rpm 11 MB/s | 29 kB 00:00 (34/185): graphviz-5.0.0-4.fc37.aarch64.rpm 54 MB/s | 4.9 MB 00:00 (35/185): libimagequant-2.17.0-3.fc37.aarch64.r 8.4 MB/s | 63 kB 00:00 (36/185): libjpeg-turbo-2.1.3-2.fc37.aarch64.rp 77 MB/s | 195 kB 00:00 (37/185): libmpc-1.2.1-5.fc37.aarch64.rpm 19 MB/s | 62 kB 00:00 (38/185): libpng-1.6.37-13.fc37.aarch64.rpm 63 MB/s | 114 kB 00:00 (39/185): libpaper-1.1.28-5.fc37.aarch64.rpm 11 MB/s | 46 kB 00:00 (40/185): libtiff-4.4.0-4.fc37.aarch64.rpm 98 MB/s | 191 kB 00:00 (41/185): libtool-ltdl-2.4.7-2.fc37.aarch64.rpm 19 MB/s | 37 kB 00:00 (42/185): libthai-0.1.29-3.fc37.aarch64.rpm 37 MB/s | 212 kB 00:00 (43/185): libxcb-1.13.1-10.fc37.aarch64.rpm 63 MB/s | 236 kB 00:00 (44/185): make-4.3-11.fc37.aarch64.rpm 30 MB/s | 537 kB 00:00 (45/185): mkfontscale-1.2.2-2.fc37.aarch64.rpm 8.3 MB/s | 31 kB 00:00 (46/185): mpdecimal-2.5.1-4.fc37.aarch64.rpm 35 MB/s | 102 kB 00:00 (47/185): m4-1.4.19-4.fc37.aarch64.rpm 7.9 MB/s | 299 kB 00:00 (48/185): nettle-3.8-2.fc37.aarch64.rpm 37 MB/s | 421 kB 00:00 (49/185): perl-Carp-1.52-489.fc37.noarch.rpm 5.7 MB/s | 29 kB 00:00 (50/185): perl-Error-0.17029-10.fc37.noarch.rpm 15 MB/s | 41 kB 00:00 (51/185): perl-Exporter-5.77-489.fc37.noarch.rp 12 MB/s | 31 kB 00:00 (52/185): perl-File-Path-2.18-489.fc37.noarch.r 13 MB/s | 35 kB 00:00 (53/185): perl-File-Temp-0.231.100-489.fc37.noa 13 MB/s | 59 kB 00:00 (54/185): guile22-2.2.7-6.fc37.aarch64.rpm 43 MB/s | 6.5 MB 00:00 (55/185): perl-MIME-Base64-3.16-489.fc37.aarch6 3.0 MB/s | 30 kB 00:00 (56/185): perl-PathTools-3.84-489.fc37.aarch64. 29 MB/s | 90 kB 00:00 (57/185): perl-Pod-Escapes-1.07-489.fc37.noarch 6.6 MB/s | 20 kB 00:00 (58/185): perl-Pod-Perldoc-3.28.01-490.fc37.noa 15 MB/s | 90 kB 00:00 (59/185): perl-Pod-Usage-2.03-3.fc37.noarch.rpm 14 MB/s | 40 kB 00:00 (60/185): perl-Pod-Simple-3.43-490.fc37.noarch. 20 MB/s | 225 kB 00:00 (61/185): perl-Socket-2.036-1.fc37.aarch64.rpm 16 MB/s | 55 kB 00:00 (62/185): perl-Scalar-List-Utils-1.63-489.fc37. 8.5 MB/s | 71 kB 00:00 (63/185): perl-Storable-3.26-489.fc37.aarch64.r 26 MB/s | 95 kB 00:00 (64/185): perl-Term-ANSIColor-5.01-490.fc37.noa 14 MB/s | 48 kB 00:00 (65/185): perl-Term-Cap-1.17-489.fc37.noarch.rp 9.4 MB/s | 22 kB 00:00 (66/185): perl-TermReadKey-2.38-14.fc37.aarch64 13 MB/s | 36 kB 00:00 (67/185): perl-Text-ParseWords-3.31-489.fc37.no 5.9 MB/s | 16 kB 00:00 (68/185): perl-Time-Local-1.300-489.fc37.noarch 15 MB/s | 33 kB 00:00 (69/185): perl-constant-1.33-490.fc37.noarch.rp 9.9 MB/s | 23 kB 00:00 (70/185): perl-parent-0.238-489.fc37.noarch.rpm 7.2 MB/s | 14 kB 00:00 (71/185): perl-podlators-4.14-489.fc37.noarch.r 21 MB/s | 116 kB 00:00 (72/185): pixman-0.40.0-6.fc37.aarch64.rpm 18 MB/s | 169 kB 00:00 (73/185): poppler-data-0.4.11-3.fc37.noarch.rpm 224 MB/s | 2.0 MB 00:00 (74/185): shared-mime-info-2.2-2.fc37.aarch64.r 89 MB/s | 381 kB 00:00 (75/185): perl-Encode-3.19-492.fc37.aarch64.rpm 22 MB/s | 1.7 MB 00:00 (76/185): tcl-devel-8.6.12-3.fc37.aarch64.rpm 5.9 MB/s | 169 kB 00:00 (77/185): urw-base35-bookman-fonts-20200910-15. 191 MB/s | 848 kB 00:00 (78/185): urw-base35-c059-fonts-20200910-15.fc3 107 MB/s | 875 kB 00:00 (79/185): urw-base35-d050000l-fonts-20200910-15 13 MB/s | 76 kB 00:00 (80/185): urw-base35-fonts-20200910-15.fc37.noa 7.4 MB/s | 11 kB 00:00 (81/185): urw-base35-fonts-common-20200910-15.f 13 MB/s | 21 kB 00:00 (82/185): txt2man-1.7.1-3.fc37.noarch.rpm 570 kB/s | 28 kB 00:00 (83/185): urw-base35-gothic-fonts-20200910-15.f 114 MB/s | 643 kB 00:00 (84/185): urw-base35-nimbus-roman-fonts-2020091 108 MB/s | 857 kB 00:00 (85/185): urw-base35-nimbus-sans-fonts-20200910 172 MB/s | 1.3 MB 00:00 (86/185): urw-base35-nimbus-mono-ps-fonts-20200 34 MB/s | 796 kB 00:00 (87/185): tcl-8.6.12-3.fc37.aarch64.rpm 14 MB/s | 1.1 MB 00:00 (88/185): urw-base35-p052-fonts-20200910-15.fc3 159 MB/s | 974 kB 00:00 (89/185): urw-base35-standard-symbols-ps-fonts- 8.1 MB/s | 42 kB 00:00 (90/185): xml-common-0.6.3-59.fc37.noarch.rpm 8.6 MB/s | 31 kB 00:00 (91/185): urw-base35-z003-fonts-20200910-15.fc3 30 MB/s | 276 kB 00:00 (92/185): xorg-x11-fonts-ISO8859-1-100dpi-7.5-3 142 MB/s | 1.1 MB 00:00 (93/185): zlib-devel-1.2.12-5.fc37.aarch64.rpm 3.6 MB/s | 44 kB 00:00 (94/185): adobe-mappings-cmap-deprecated-202306 11 MB/s | 114 kB 00:00 (95/185): annobin-docs-12.28-1.fc37.noarch.rpm 6.5 MB/s | 91 kB 00:00 (96/185): adobe-mappings-cmap-20230622-1.fc37.n 81 MB/s | 2.1 MB 00:00 (97/185): avahi-libs-0.8-18.fc37.aarch64.rpm 17 MB/s | 67 kB 00:00 (98/185): cups-libs-2.4.7-2.fc37.aarch64.rpm 35 MB/s | 266 kB 00:00 (99/185): annobin-plugin-gcc-12.28-1.fc37.aarch 33 MB/s | 956 kB 00:00 (100/185): dbus-libs-1.14.10-1.fc37.aarch64.rpm 29 MB/s | 154 kB 00:00 (101/185): expat-2.5.0-1.fc37.aarch64.rpm 28 MB/s | 106 kB 00:00 (102/185): fontconfig-2.14.1-2.fc37.aarch64.rpm 36 MB/s | 299 kB 00:00 (103/185): gcc-c++-12.3.1-1.fc37.aarch64.rpm 64 MB/s | 11 MB 00:00 (104/185): gcc-12.3.1-1.fc37.aarch64.rpm 135 MB/s | 29 MB 00:00 (105/185): cpp-12.3.1-1.fc37.aarch64.rpm 39 MB/s | 9.2 MB 00:00 (106/185): gcc-plugin-annobin-12.3.1-1.fc37.aar 1.5 MB/s | 59 kB 00:00 (107/185): gd-2.3.3-9.fc37.aarch64.rpm 13 MB/s | 133 kB 00:00 (108/185): git-2.42.0-2.fc37.aarch64.rpm 835 kB/s | 53 kB 00:00 (109/185): git-core-2.42.0-2.fc37.aarch64.rpm 49 MB/s | 4.5 MB 00:00 (110/185): git-core-doc-2.42.0-2.fc37.noarch.rp 27 MB/s | 2.8 MB 00:00 (111/185): glibc-devel-2.36-18.fc37.aarch64.rpm 23 MB/s | 572 kB 00:00 (112/185): google-noto-fonts-common-20201206^1. 8.3 MB/s | 18 kB 00:00 (113/185): gnutls-3.8.1-1.fc37.aarch64.rpm 37 MB/s | 1.1 MB 00:00 (114/185): google-noto-sans-vf-fonts-20201206^1 39 MB/s | 728 kB 00:00 (115/185): highway-1.0.7-1.fc37.aarch64.rpm 47 MB/s | 95 kB 00:00 (116/185): lcms2-2.14-1.fc37.aarch64.rpm 61 MB/s | 174 kB 00:00 (117/185): less-633-1.fc37.aarch64.rpm 21 MB/s | 175 kB 00:00 (118/185): libX11-1.8.4-1.fc37.aarch64.rpm 109 MB/s | 637 kB 00:00 (119/185): libX11-common-1.8.4-1.fc37.noarch.rp 21 MB/s | 177 kB 00:00 (120/185): libXpm-3.5.15-2.fc37.aarch64.rpm 13 MB/s | 60 kB 00:00 (121/185): glib2-2.74.7-2.fc37.aarch64.rpm 23 MB/s | 2.7 MB 00:00 (122/185): libaom-3.7.0-1.fc37.aarch64.rpm 44 MB/s | 1.4 MB 00:00 (123/185): kernel-headers-6.5.4-100.fc37.aarch6 22 MB/s | 1.5 MB 00:00 (124/185): libatomic-12.3.1-1.fc37.aarch64.rpm 8.2 MB/s | 48 kB 00:00 (125/185): libavif-0.11.1-4.fc37.aarch64.rpm 30 MB/s | 79 kB 00:00 (126/185): libasan-12.3.1-1.fc37.aarch64.rpm 14 MB/s | 433 kB 00:00 (127/185): libedit-3.1-43.20221009cvs.fc37.aarc 17 MB/s | 105 kB 00:00 (128/185): libffi-devel-3.4.4-1.fc37.aarch64.rp 5.0 MB/s | 27 kB 00:00 (129/185): libdav1d-1.2.1-1.fc37.aarch64.rpm 40 MB/s | 348 kB 00:00 (130/185): libjxl-0.7.0-5.fc37.aarch64.rpm 102 MB/s | 677 kB 00:00 (131/185): libstdc++-devel-12.3.1-1.fc37.aarch6 53 MB/s | 2.5 MB 00:00 (132/185): libubsan-12.3.1-1.fc37.aarch64.rpm 27 MB/s | 198 kB 00:00 (133/185): libwebp-1.3.2-2.fc37.aarch64.rpm 74 MB/s | 244 kB 00:00 (134/185): librsvg2-2.54.6-1.fc37.aarch64.rpm 49 MB/s | 3.4 MB 00:00 (135/185): libgs-9.56.1-9.fc37.aarch64.rpm 48 MB/s | 3.5 MB 00:00 (136/185): libxcrypt-devel-4.4.36-1.fc37.aarch6 3.6 MB/s | 29 kB 00:00 (137/185): ncurses-c++-libs-6.4-3.20230114.fc37 11 MB/s | 36 kB 00:00 (138/185): netpbm-11.02.00-1.fc37.aarch64.rpm 67 MB/s | 182 kB 00:00 (139/185): ncurses-devel-6.4-3.20230114.fc37.aa 56 MB/s | 549 kB 00:00 (140/185): ncurses-6.4-3.20230114.fc37.aarch64. 21 MB/s | 410 kB 00:00 (141/185): nspr-4.35.0-11.fc37.aarch64.rpm 14 MB/s | 133 kB 00:00 (142/185): nss-3.94.0-2.fc37.aarch64.rpm 45 MB/s | 689 kB 00:00 (143/185): nss-softokn-3.94.0-2.fc37.aarch64.rp 36 MB/s | 391 kB 00:00 (144/185): nss-softokn-freebl-3.94.0-2.fc37.aar 29 MB/s | 328 kB 00:00 (145/185): nss-sysinit-3.94.0-2.fc37.aarch64.rp 7.8 MB/s | 18 kB 00:00 (146/185): nss-util-3.94.0-2.fc37.aarch64.rpm 22 MB/s | 85 kB 00:00 (147/185): openjpeg2-2.5.0-5.fc37.aarch64.rpm 40 MB/s | 173 kB 00:00 (148/185): openssh-8.8p1-11.fc37.aarch64.rpm 34 MB/s | 439 kB 00:00 (149/185): perl-Class-Struct-0.66-494.fc37.noar 7.8 MB/s | 23 kB 00:00 (150/185): perl-DynaLoader-1.52-494.fc37.aarch6 11 MB/s | 27 kB 00:00 (151/185): openssh-clients-8.8p1-11.fc37.aarch6 37 MB/s | 669 kB 00:00 (152/185): pango-1.50.14-1.fc37.aarch64.rpm 19 MB/s | 337 kB 00:00 (153/185): perl-Errno-1.36-494.fc37.aarch64.rpm 5.5 MB/s | 16 kB 00:00 (154/185): perl-Fcntl-1.15-494.fc37.aarch64.rpm 8.0 MB/s | 22 kB 00:00 (155/185): perl-File-Basename-2.85-494.fc37.noa 7.3 MB/s | 18 kB 00:00 (156/185): perl-File-Find-1.40-494.fc37.noarch. 8.1 MB/s | 26 kB 00:00 (157/185): perl-File-stat-1.12-494.fc37.noarch. 5.7 MB/s | 18 kB 00:00 (158/185): perl-Getopt-Std-1.13-494.fc37.noarch 9.9 MB/s | 17 kB 00:00 (159/185): perl-Getopt-Long-2.54-1.fc37.noarch. 16 MB/s | 60 kB 00:00 (160/185): perl-Git-2.42.0-2.fc37.noarch.rpm 13 MB/s | 41 kB 00:00 (161/185): perl-HTTP-Tiny-0.086-1.fc37.noarch.r 13 MB/s | 55 kB 00:00 (162/185): perl-IPC-Open3-1.22-494.fc37.noarch. 9.7 MB/s | 24 kB 00:00 (163/185): perl-IO-1.50-494.fc37.aarch64.rpm 17 MB/s | 93 kB 00:00 (164/185): perl-SelectSaver-1.02-494.fc37.noarc 4.6 MB/s | 13 kB 00:00 (165/185): perl-Symbol-1.09-494.fc37.noarch.rpm 5.5 MB/s | 15 kB 00:00 (166/185): perl-POSIX-2.03-494.fc37.aarch64.rpm 15 MB/s | 99 kB 00:00 (167/185): perl-Text-Tabs+Wrap-2023.0511-1.fc37 7.3 MB/s | 23 kB 00:00 (168/185): perl-if-0.61.000-494.fc37.noarch.rpm 5.1 MB/s | 15 kB 00:00 (169/185): perl-lib-0.65-494.fc37.aarch64.rpm 7.0 MB/s | 16 kB 00:00 (170/185): perl-interpreter-5.36.1-494.fc37.aar 15 MB/s | 73 kB 00:00 (171/185): perl-locale-1.10-494.fc37.noarch.rpm 6.0 MB/s | 15 kB 00:00 (172/185): perl-mro-1.26-494.fc37.aarch64.rpm 11 MB/s | 29 kB 00:00 (173/185): perl-overload-1.35-494.fc37.noarch.r 17 MB/s | 47 kB 00:00 (174/185): perl-overloading-0.02-494.fc37.noarc 6.4 MB/s | 14 kB 00:00 (175/185): perl-subs-1.04-494.fc37.noarch.rpm 5.9 MB/s | 13 kB 00:00 (176/185): perl-vars-1.05-494.fc37.noarch.rpm 8.3 MB/s | 14 kB 00:00 (177/185): poppler-22.08.0-4.fc37.aarch64.rpm 152 MB/s | 1.1 MB 00:00 (178/185): poppler-glib-22.08.0-4.fc37.aarch64. 25 MB/s | 165 kB 00:00 (179/185): python-setuptools-wheel-62.6.0-3.fc3 49 MB/s | 711 kB 00:00 (180/185): python3-3.11.6-1.fc37.aarch64.rpm 8.6 MB/s | 28 kB 00:00 (181/185): python-pip-wheel-22.2.2-3.fc37.noarc 24 MB/s | 1.4 MB 00:00 (182/185): perl-libs-5.36.1-494.fc37.aarch64.rp 27 MB/s | 2.2 MB 00:00 (183/185): rav1e-libs-0.6.6-3.fc37.aarch64.rpm 155 MB/s | 774 kB 00:00 (184/185): readline-devel-8.2-2.fc37.aarch64.rp 38 MB/s | 207 kB 00:00 (185/185): python3-libs-3.11.6-1.fc37.aarch64.r 68 MB/s | 9.5 MB 00:00 -------------------------------------------------------------------------------- Total 101 MB/s | 147 MB 00:01 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : libpng-2:1.6.37-13.fc37.aarch64 1/185 Installing : nspr-4.35.0-11.fc37.aarch64 2/185 Installing : libjpeg-turbo-2.1.3-2.fc37.aarch64 3/185 Installing : nss-util-3.94.0-2.fc37.aarch64 4/185 Installing : fonts-filesystem-1:2.0.5-9.fc37.noarch 5/185 Installing : urw-base35-fonts-common-20200910-15.fc37.noarch 6/185 Installing : libwebp-1.3.2-2.fc37.aarch64 7/185 Installing : expat-2.5.0-1.fc37.aarch64 8/185 Installing : libmpc-1.2.1-5.fc37.aarch64 9/185 Installing : openjpeg2-2.5.0-5.fc37.aarch64 10/185 Installing : lcms2-2.14-1.fc37.aarch64 11/185 Installing : adobe-mappings-cmap-20230622-1.fc37.noarch 12/185 Installing : m4-1.4.19-4.fc37.aarch64 13/185 Installing : libtool-ltdl-2.4.7-2.fc37.aarch64 14/185 Installing : adobe-mappings-cmap-deprecated-20230622-1.fc37.n 15/185 Installing : cpp-12.3.1-1.fc37.aarch64 16/185 Installing : urw-base35-bookman-fonts-20200910-15.fc37.noarch 17/185 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noarch 17/185 Installing : urw-base35-c059-fonts-20200910-15.fc37.noarch 18/185 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 18/185 Installing : urw-base35-d050000l-fonts-20200910-15.fc37.noarc 19/185 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noarc 19/185 Installing : urw-base35-gothic-fonts-20200910-15.fc37.noarch 20/185 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarch 20/185 Installing : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 21/185 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 21/185 Installing : urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 22/185 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 22/185 Installing : urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 23/185 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 23/185 Installing : urw-base35-p052-fonts-20200910-15.fc37.noarch 24/185 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 24/185 Installing : urw-base35-standard-symbols-ps-fonts-20200910-15 25/185 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-15 25/185 Installing : urw-base35-z003-fonts-20200910-15.fc37.noarch 26/185 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 26/185 Installing : urw-base35-fonts-20200910-15.fc37.noarch 27/185 Installing : nss-softokn-freebl-3.94.0-2.fc37.aarch64 28/185 Installing : nss-softokn-3.94.0-2.fc37.aarch64 29/185 Installing : rav1e-libs-0.6.6-3.fc37.aarch64 30/185 Installing : python-setuptools-wheel-62.6.0-3.fc37.noarch 31/185 Installing : python-pip-wheel-22.2.2-3.fc37.noarch 32/185 Running scriptlet: openssh-8.8p1-11.fc37.aarch64 33/185 Installing : openssh-8.8p1-11.fc37.aarch64 33/185 Installing : netpbm-11.02.00-1.fc37.aarch64 34/185 Installing : ncurses-c++-libs-6.4-3.20230114.fc37.aarch64 35/185 Installing : ncurses-devel-6.4-3.20230114.fc37.aarch64 36/185 Installing : ncurses-6.4-3.20230114.fc37.aarch64 37/185 Installing : libubsan-12.3.1-1.fc37.aarch64 38/185 Installing : libstdc++-devel-12.3.1-1.fc37.aarch64 39/185 Installing : libedit-3.1-43.20221009cvs.fc37.aarch64 40/185 Installing : libdav1d-1.2.1-1.fc37.aarch64 41/185 Installing : libatomic-12.3.1-1.fc37.aarch64 42/185 Installing : libasan-12.3.1-1.fc37.aarch64 43/185 Installing : libX11-common-1.8.4-1.fc37.noarch 44/185 Installing : less-633-1.fc37.aarch64 45/185 Installing : kernel-headers-6.5.4-100.fc37.aarch64 46/185 Installing : libxcrypt-devel-4.4.36-1.fc37.aarch64 47/185 Installing : glibc-devel-2.36-18.fc37.aarch64 48/185 Installing : highway-1.0.7-1.fc37.aarch64 49/185 Installing : google-noto-fonts-common-20201206^1.git0c78c8329 50/185 Installing : google-noto-sans-vf-fonts-20201206^1.git0c78c832 51/185 Installing : google-droid-sans-fonts-20200215-13.fc37.noarch 52/185 Installing : langpacks-core-font-en-3.0-26.fc37.noarch 53/185 Installing : dbus-libs-1:1.14.10-1.fc37.aarch64 54/185 Installing : avahi-libs-0.8-18.fc37.aarch64 55/185 Installing : annobin-docs-12.28-1.fc37.noarch 56/185 Installing : zlib-devel-1.2.12-5.fc37.aarch64 57/185 Running scriptlet: xml-common-0.6.3-59.fc37.noarch 58/185 Installing : xml-common-0.6.3-59.fc37.noarch 58/185 Installing : tcl-1:8.6.12-3.fc37.aarch64 59/185 Installing : poppler-data-0.4.11-3.fc37.noarch 60/185 Installing : pixman-0.40.0-6.fc37.aarch64 61/185 Installing : nettle-3.8-2.fc37.aarch64 62/185 Installing : gnutls-3.8.1-1.fc37.aarch64 63/185 Installing : glib2-2.74.7-2.fc37.aarch64 64/185 Installing : shared-mime-info-2.2-2.fc37.aarch64 65/185 Running scriptlet: shared-mime-info-2.2-2.fc37.aarch64 65/185 Installing : gdk-pixbuf2-2.42.10-1.fc37.aarch64 66/185 Installing : libjxl-1:0.7.0-5.fc37.aarch64 67/185 Installing : libaom-3.7.0-1.fc37.aarch64 68/185 Installing : libavif-0.11.1-4.fc37.aarch64 69/185 Installing : gts-0.7.6-42.20121130.fc37.aarch64 70/185 Installing : cups-libs-1:2.4.7-2.fc37.aarch64 71/185 Installing : mpdecimal-2.5.1-4.fc37.aarch64 72/185 Installing : libpaper-1.1.28-5.fc37.aarch64 73/185 Installing : libimagequant-2.17.0-3.fc37.aarch64 74/185 Installing : libijs-0.35-16.fc37.aarch64 75/185 Installing : libfontenc-1.1.4-4.fc37.aarch64 76/185 Installing : libdatrie-0.2.13-4.fc37.aarch64 77/185 Installing : libthai-0.1.29-3.fc37.aarch64 78/185 Installing : libcbor-0.7.0-7.fc37.aarch64 79/185 Installing : libfido2-1.11.0-3.fc37.aarch64 80/185 Installing : openssh-clients-8.8p1-11.fc37.aarch64 81/185 Running scriptlet: openssh-clients-8.8p1-11.fc37.aarch64 81/185 Installing : git-core-2.42.0-2.fc37.aarch64 82/185 Installing : git-core-doc-2.42.0-2.fc37.noarch 83/185 Installing : libb2-0.98.1-7.fc37.aarch64 84/185 Installing : python3-3.11.6-1.fc37.aarch64 85/185 Installing : python3-libs-3.11.6-1.fc37.aarch64 86/185 Installing : crypto-policies-scripts-20220815-1.gite4ed860.fc 87/185 Installing : nss-sysinit-3.94.0-2.fc37.aarch64 88/185 Installing : nss-3.94.0-2.fc37.aarch64 89/185 Running scriptlet: nss-3.94.0-2.fc37.aarch64 89/185 Installing : libXau-1.0.10-1.fc37.aarch64 90/185 Installing : libxcb-1.13.1-10.fc37.aarch64 91/185 Installing : libX11-1.8.4-1.fc37.aarch64 92/185 Installing : libXrender-0.9.10-17.fc37.aarch64 93/185 Installing : libXext-1.3.4-9.fc37.aarch64 94/185 Installing : libXpm-3.5.15-2.fc37.aarch64 95/185 Installing : jbigkit-libs-2.1-24.fc37.aarch64 96/185 Installing : libtiff-4.4.0-4.fc37.aarch64 97/185 Installing : jbig2dec-libs-0.19-7.fc37.aarch64 98/185 Running scriptlet: groff-base-1.22.4-10.fc37.aarch64 99/185 Installing : groff-base-1.22.4-10.fc37.aarch64 99/185 Running scriptlet: groff-base-1.22.4-10.fc37.aarch64 99/185 Installing : perl-Time-Local-2:1.300-489.fc37.noarch 100/185 Installing : perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch 101/185 Installing : perl-if-0.61.000-494.fc37.noarch 102/185 Installing : perl-locale-1.10-494.fc37.noarch 103/185 Installing : perl-File-Path-2.18-489.fc37.noarch 104/185 Installing : perl-Pod-Escapes-1:1.07-489.fc37.noarch 105/185 Installing : perl-Term-ANSIColor-5.01-490.fc37.noarch 106/185 Installing : perl-Class-Struct-0.66-494.fc37.noarch 107/185 Installing : perl-POSIX-2.03-494.fc37.aarch64 108/185 Installing : perl-HTTP-Tiny-0.086-1.fc37.noarch 109/185 Installing : perl-IPC-Open3-1.22-494.fc37.noarch 110/185 Installing : perl-subs-1.04-494.fc37.noarch 111/185 Installing : perl-File-Temp-1:0.231.100-489.fc37.noarch 112/185 Installing : perl-Term-Cap-1.17-489.fc37.noarch 113/185 Installing : perl-Pod-Simple-1:3.43-490.fc37.noarch 114/185 Installing : perl-Socket-4:2.036-1.fc37.aarch64 115/185 Installing : perl-SelectSaver-1.02-494.fc37.noarch 116/185 Installing : perl-Symbol-1.09-494.fc37.noarch 117/185 Installing : perl-File-stat-1.12-494.fc37.noarch 118/185 Installing : perl-podlators-1:4.14-489.fc37.noarch 119/185 Installing : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 120/185 Installing : perl-Text-ParseWords-3.31-489.fc37.noarch 121/185 Installing : perl-Fcntl-1.15-494.fc37.aarch64 122/185 Installing : perl-mro-1.26-494.fc37.aarch64 123/185 Installing : perl-IO-1.50-494.fc37.aarch64 124/185 Installing : perl-overloading-0.02-494.fc37.noarch 125/185 Installing : perl-Pod-Usage-4:2.03-3.fc37.noarch 126/185 Installing : perl-MIME-Base64-3.16-489.fc37.aarch64 127/185 Installing : perl-Scalar-List-Utils-5:1.63-489.fc37.aarch64 128/185 Installing : perl-constant-1.33-490.fc37.noarch 129/185 Installing : perl-parent-1:0.238-489.fc37.noarch 130/185 Installing : perl-Errno-1.36-494.fc37.aarch64 131/185 Installing : perl-File-Basename-2.85-494.fc37.noarch 132/185 Installing : perl-Getopt-Std-1.13-494.fc37.noarch 133/185 Installing : perl-Storable-1:3.26-489.fc37.aarch64 134/185 Installing : perl-overload-1.35-494.fc37.noarch 135/185 Installing : perl-vars-1.05-494.fc37.noarch 136/185 Installing : perl-Getopt-Long-1:2.54-1.fc37.noarch 137/185 Installing : perl-Carp-1.52-489.fc37.noarch 138/185 Installing : perl-Exporter-5.77-489.fc37.noarch 139/185 Installing : perl-PathTools-3.84-489.fc37.aarch64 140/185 Installing : perl-DynaLoader-1.52-494.fc37.aarch64 141/185 Installing : perl-Encode-4:3.19-492.fc37.aarch64 142/185 Installing : perl-libs-4:5.36.1-494.fc37.aarch64 143/185 Installing : perl-interpreter-4:5.36.1-494.fc37.aarch64 144/185 Installing : perl-Error-1:0.17029-10.fc37.noarch 145/185 Installing : perl-TermReadKey-2.38-14.fc37.aarch64 146/185 Installing : perl-File-Find-1.40-494.fc37.noarch 147/185 Installing : perl-lib-0.65-494.fc37.aarch64 148/185 Installing : perl-Git-2.42.0-2.fc37.noarch 149/185 Installing : git-2.42.0-2.fc37.aarch64 150/185 Installing : graphite2-1.3.14-10.fc37.aarch64 151/185 Installing : harfbuzz-5.2.0-1.fc37.aarch64 152/185 Installing : freetype-2.12.1-3.fc37.aarch64 153/185 Installing : fontconfig-2.14.1-2.fc37.aarch64 154/185 Running scriptlet: fontconfig-2.14.1-2.fc37.aarch64 154/185 Installing : cairo-1.17.6-2.fc37.aarch64 155/185 Installing : cairo-gobject-1.17.6-2.fc37.aarch64 156/185 Installing : libXft-2.3.4-3.fc37.aarch64 157/185 Installing : gd-2.3.3-9.fc37.aarch64 158/185 Installing : poppler-22.08.0-4.fc37.aarch64 159/185 Installing : poppler-glib-22.08.0-4.fc37.aarch64 160/185 Installing : mkfontscale-1.2.2-2.fc37.aarch64 161/185 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 162/185 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 162/185 Installing : gc-8.0.6-4.fc37.aarch64 163/185 Installing : guile22-2.2.7-6.fc37.aarch64 164/185 Installing : make-1:4.3-11.fc37.aarch64 165/185 Installing : gcc-12.3.1-1.fc37.aarch64 166/185 Running scriptlet: gcc-12.3.1-1.fc37.aarch64 166/185 Installing : fribidi-1.0.12-2.fc37.aarch64 167/185 Installing : pango-1.50.14-1.fc37.aarch64 168/185 Installing : lasi-1.1.3-9.fc37.aarch64 169/185 Installing : librsvg2-2.54.6-1.fc37.aarch64 170/185 Installing : adobe-mappings-pdf-20190401-2.fc37.noarch 171/185 Installing : libgs-9.56.1-9.fc37.aarch64 172/185 Installing : abc-libs-1.02-20231114.0.git6ca7eab4.fc37.aarch6 173/185 Installing : abc-1.02-20231114.0.git6ca7eab4.fc37.aarch64 174/185 Installing : graphviz-5.0.0-4.fc37.aarch64 175/185 Running scriptlet: graphviz-5.0.0-4.fc37.aarch64 175/185 Installing : annobin-plugin-gcc-12.28-1.fc37.aarch64 176/185 Running scriptlet: annobin-plugin-gcc-12.28-1.fc37.aarch64 176/185 Installing : gcc-c++-12.3.1-1.fc37.aarch64 177/185 Installing : gcc-plugin-annobin-12.3.1-1.fc37.aarch64 178/185 Installing : tcl-devel-1:8.6.12-3.fc37.aarch64 179/185 Installing : readline-devel-8.2-2.fc37.aarch64 180/185 Installing : bison-3.8.2-3.fc37.aarch64 181/185 Installing : flex-2.6.4-11.fc37.aarch64 182/185 Installing : libffi-devel-3.4.4-1.fc37.aarch64 183/185 Installing : txt2man-1.7.1-3.fc37.noarch 184/185 Installing : iverilog-13.0-20231116.0.gitc9548f03.fc37.aarch6 185/185 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noarc 185/185 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 185/185 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 185/185 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 185/185 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-15 185/185 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: crypto-policies-scripts-20220815-1.gite4ed860.fc 185/185 Running scriptlet: nss-3.94.0-2.fc37.aarch64 185/185 Running scriptlet: fontconfig-2.14.1-2.fc37.aarch64 185/185 Running scriptlet: iverilog-13.0-20231116.0.gitc9548f03.fc37.aarch6 185/185 Verifying : abc-1.02-20231114.0.git6ca7eab4.fc37.aarch64 1/185 Verifying : abc-libs-1.02-20231114.0.git6ca7eab4.fc37.aarch6 2/185 Verifying : iverilog-13.0-20231116.0.gitc9548f03.fc37.aarch6 3/185 Verifying : adobe-mappings-pdf-20190401-2.fc37.noarch 4/185 Verifying : bison-3.8.2-3.fc37.aarch64 5/185 Verifying : cairo-1.17.6-2.fc37.aarch64 6/185 Verifying : cairo-gobject-1.17.6-2.fc37.aarch64 7/185 Verifying : crypto-policies-scripts-20220815-1.gite4ed860.fc 8/185 Verifying : flex-2.6.4-11.fc37.aarch64 9/185 Verifying : fonts-filesystem-1:2.0.5-9.fc37.noarch 10/185 Verifying : freetype-2.12.1-3.fc37.aarch64 11/185 Verifying : fribidi-1.0.12-2.fc37.aarch64 12/185 Verifying : gc-8.0.6-4.fc37.aarch64 13/185 Verifying : gdk-pixbuf2-2.42.10-1.fc37.aarch64 14/185 Verifying : google-droid-sans-fonts-20200215-13.fc37.noarch 15/185 Verifying : graphite2-1.3.14-10.fc37.aarch64 16/185 Verifying : graphviz-5.0.0-4.fc37.aarch64 17/185 Verifying : groff-base-1.22.4-10.fc37.aarch64 18/185 Verifying : gts-0.7.6-42.20121130.fc37.aarch64 19/185 Verifying : guile22-2.2.7-6.fc37.aarch64 20/185 Verifying : harfbuzz-5.2.0-1.fc37.aarch64 21/185 Verifying : jbig2dec-libs-0.19-7.fc37.aarch64 22/185 Verifying : jbigkit-libs-2.1-24.fc37.aarch64 23/185 Verifying : langpacks-core-font-en-3.0-26.fc37.noarch 24/185 Verifying : lasi-1.1.3-9.fc37.aarch64 25/185 Verifying : libXau-1.0.10-1.fc37.aarch64 26/185 Verifying : libXext-1.3.4-9.fc37.aarch64 27/185 Verifying : libXft-2.3.4-3.fc37.aarch64 28/185 Verifying : libXrender-0.9.10-17.fc37.aarch64 29/185 Verifying : libb2-0.98.1-7.fc37.aarch64 30/185 Verifying : libcbor-0.7.0-7.fc37.aarch64 31/185 Verifying : libdatrie-0.2.13-4.fc37.aarch64 32/185 Verifying : libfido2-1.11.0-3.fc37.aarch64 33/185 Verifying : libfontenc-1.1.4-4.fc37.aarch64 34/185 Verifying : libijs-0.35-16.fc37.aarch64 35/185 Verifying : libimagequant-2.17.0-3.fc37.aarch64 36/185 Verifying : libjpeg-turbo-2.1.3-2.fc37.aarch64 37/185 Verifying : libmpc-1.2.1-5.fc37.aarch64 38/185 Verifying : libpaper-1.1.28-5.fc37.aarch64 39/185 Verifying : libpng-2:1.6.37-13.fc37.aarch64 40/185 Verifying : libthai-0.1.29-3.fc37.aarch64 41/185 Verifying : libtiff-4.4.0-4.fc37.aarch64 42/185 Verifying : libtool-ltdl-2.4.7-2.fc37.aarch64 43/185 Verifying : libxcb-1.13.1-10.fc37.aarch64 44/185 Verifying : m4-1.4.19-4.fc37.aarch64 45/185 Verifying : make-1:4.3-11.fc37.aarch64 46/185 Verifying : mkfontscale-1.2.2-2.fc37.aarch64 47/185 Verifying : mpdecimal-2.5.1-4.fc37.aarch64 48/185 Verifying : nettle-3.8-2.fc37.aarch64 49/185 Verifying : perl-Carp-1.52-489.fc37.noarch 50/185 Verifying : perl-Encode-4:3.19-492.fc37.aarch64 51/185 Verifying : perl-Error-1:0.17029-10.fc37.noarch 52/185 Verifying : perl-Exporter-5.77-489.fc37.noarch 53/185 Verifying : perl-File-Path-2.18-489.fc37.noarch 54/185 Verifying : perl-File-Temp-1:0.231.100-489.fc37.noarch 55/185 Verifying : perl-MIME-Base64-3.16-489.fc37.aarch64 56/185 Verifying : perl-PathTools-3.84-489.fc37.aarch64 57/185 Verifying : perl-Pod-Escapes-1:1.07-489.fc37.noarch 58/185 Verifying : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 59/185 Verifying : perl-Pod-Simple-1:3.43-490.fc37.noarch 60/185 Verifying : perl-Pod-Usage-4:2.03-3.fc37.noarch 61/185 Verifying : perl-Scalar-List-Utils-5:1.63-489.fc37.aarch64 62/185 Verifying : perl-Socket-4:2.036-1.fc37.aarch64 63/185 Verifying : perl-Storable-1:3.26-489.fc37.aarch64 64/185 Verifying : perl-Term-ANSIColor-5.01-490.fc37.noarch 65/185 Verifying : perl-Term-Cap-1.17-489.fc37.noarch 66/185 Verifying : perl-TermReadKey-2.38-14.fc37.aarch64 67/185 Verifying : perl-Text-ParseWords-3.31-489.fc37.noarch 68/185 Verifying : perl-Time-Local-2:1.300-489.fc37.noarch 69/185 Verifying : perl-constant-1.33-490.fc37.noarch 70/185 Verifying : perl-parent-1:0.238-489.fc37.noarch 71/185 Verifying : perl-podlators-1:4.14-489.fc37.noarch 72/185 Verifying : pixman-0.40.0-6.fc37.aarch64 73/185 Verifying : poppler-data-0.4.11-3.fc37.noarch 74/185 Verifying : shared-mime-info-2.2-2.fc37.aarch64 75/185 Verifying : tcl-1:8.6.12-3.fc37.aarch64 76/185 Verifying : tcl-devel-1:8.6.12-3.fc37.aarch64 77/185 Verifying : txt2man-1.7.1-3.fc37.noarch 78/185 Verifying : urw-base35-bookman-fonts-20200910-15.fc37.noarch 79/185 Verifying : urw-base35-c059-fonts-20200910-15.fc37.noarch 80/185 Verifying : urw-base35-d050000l-fonts-20200910-15.fc37.noarc 81/185 Verifying : urw-base35-fonts-20200910-15.fc37.noarch 82/185 Verifying : urw-base35-fonts-common-20200910-15.fc37.noarch 83/185 Verifying : urw-base35-gothic-fonts-20200910-15.fc37.noarch 84/185 Verifying : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 85/185 Verifying : urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 86/185 Verifying : urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 87/185 Verifying : urw-base35-p052-fonts-20200910-15.fc37.noarch 88/185 Verifying : urw-base35-standard-symbols-ps-fonts-20200910-15 89/185 Verifying : urw-base35-z003-fonts-20200910-15.fc37.noarch 90/185 Verifying : xml-common-0.6.3-59.fc37.noarch 91/185 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 92/185 Verifying : zlib-devel-1.2.12-5.fc37.aarch64 93/185 Verifying : adobe-mappings-cmap-20230622-1.fc37.noarch 94/185 Verifying : adobe-mappings-cmap-deprecated-20230622-1.fc37.n 95/185 Verifying : annobin-docs-12.28-1.fc37.noarch 96/185 Verifying : annobin-plugin-gcc-12.28-1.fc37.aarch64 97/185 Verifying : avahi-libs-0.8-18.fc37.aarch64 98/185 Verifying : cpp-12.3.1-1.fc37.aarch64 99/185 Verifying : cups-libs-1:2.4.7-2.fc37.aarch64 100/185 Verifying : dbus-libs-1:1.14.10-1.fc37.aarch64 101/185 Verifying : expat-2.5.0-1.fc37.aarch64 102/185 Verifying : fontconfig-2.14.1-2.fc37.aarch64 103/185 Verifying : gcc-12.3.1-1.fc37.aarch64 104/185 Verifying : gcc-c++-12.3.1-1.fc37.aarch64 105/185 Verifying : gcc-plugin-annobin-12.3.1-1.fc37.aarch64 106/185 Verifying : gd-2.3.3-9.fc37.aarch64 107/185 Verifying : git-2.42.0-2.fc37.aarch64 108/185 Verifying : git-core-2.42.0-2.fc37.aarch64 109/185 Verifying : git-core-doc-2.42.0-2.fc37.noarch 110/185 Verifying : glib2-2.74.7-2.fc37.aarch64 111/185 Verifying : glibc-devel-2.36-18.fc37.aarch64 112/185 Verifying : gnutls-3.8.1-1.fc37.aarch64 113/185 Verifying : google-noto-fonts-common-20201206^1.git0c78c8329 114/185 Verifying : google-noto-sans-vf-fonts-20201206^1.git0c78c832 115/185 Verifying : highway-1.0.7-1.fc37.aarch64 116/185 Verifying : kernel-headers-6.5.4-100.fc37.aarch64 117/185 Verifying : lcms2-2.14-1.fc37.aarch64 118/185 Verifying : less-633-1.fc37.aarch64 119/185 Verifying : libX11-1.8.4-1.fc37.aarch64 120/185 Verifying : libX11-common-1.8.4-1.fc37.noarch 121/185 Verifying : libXpm-3.5.15-2.fc37.aarch64 122/185 Verifying : libaom-3.7.0-1.fc37.aarch64 123/185 Verifying : libasan-12.3.1-1.fc37.aarch64 124/185 Verifying : libatomic-12.3.1-1.fc37.aarch64 125/185 Verifying : libavif-0.11.1-4.fc37.aarch64 126/185 Verifying : libdav1d-1.2.1-1.fc37.aarch64 127/185 Verifying : libedit-3.1-43.20221009cvs.fc37.aarch64 128/185 Verifying : libffi-devel-3.4.4-1.fc37.aarch64 129/185 Verifying : libgs-9.56.1-9.fc37.aarch64 130/185 Verifying : libjxl-1:0.7.0-5.fc37.aarch64 131/185 Verifying : librsvg2-2.54.6-1.fc37.aarch64 132/185 Verifying : libstdc++-devel-12.3.1-1.fc37.aarch64 133/185 Verifying : libubsan-12.3.1-1.fc37.aarch64 134/185 Verifying : libwebp-1.3.2-2.fc37.aarch64 135/185 Verifying : libxcrypt-devel-4.4.36-1.fc37.aarch64 136/185 Verifying : ncurses-6.4-3.20230114.fc37.aarch64 137/185 Verifying : ncurses-c++-libs-6.4-3.20230114.fc37.aarch64 138/185 Verifying : ncurses-devel-6.4-3.20230114.fc37.aarch64 139/185 Verifying : netpbm-11.02.00-1.fc37.aarch64 140/185 Verifying : nspr-4.35.0-11.fc37.aarch64 141/185 Verifying : nss-3.94.0-2.fc37.aarch64 142/185 Verifying : nss-softokn-3.94.0-2.fc37.aarch64 143/185 Verifying : nss-softokn-freebl-3.94.0-2.fc37.aarch64 144/185 Verifying : nss-sysinit-3.94.0-2.fc37.aarch64 145/185 Verifying : nss-util-3.94.0-2.fc37.aarch64 146/185 Verifying : openjpeg2-2.5.0-5.fc37.aarch64 147/185 Verifying : openssh-8.8p1-11.fc37.aarch64 148/185 Verifying : openssh-clients-8.8p1-11.fc37.aarch64 149/185 Verifying : pango-1.50.14-1.fc37.aarch64 150/185 Verifying : perl-Class-Struct-0.66-494.fc37.noarch 151/185 Verifying : perl-DynaLoader-1.52-494.fc37.aarch64 152/185 Verifying : perl-Errno-1.36-494.fc37.aarch64 153/185 Verifying : perl-Fcntl-1.15-494.fc37.aarch64 154/185 Verifying : perl-File-Basename-2.85-494.fc37.noarch 155/185 Verifying : perl-File-Find-1.40-494.fc37.noarch 156/185 Verifying : perl-File-stat-1.12-494.fc37.noarch 157/185 Verifying : perl-Getopt-Long-1:2.54-1.fc37.noarch 158/185 Verifying : perl-Getopt-Std-1.13-494.fc37.noarch 159/185 Verifying : perl-Git-2.42.0-2.fc37.noarch 160/185 Verifying : perl-HTTP-Tiny-0.086-1.fc37.noarch 161/185 Verifying : perl-IO-1.50-494.fc37.aarch64 162/185 Verifying : perl-IPC-Open3-1.22-494.fc37.noarch 163/185 Verifying : perl-POSIX-2.03-494.fc37.aarch64 164/185 Verifying : perl-SelectSaver-1.02-494.fc37.noarch 165/185 Verifying : perl-Symbol-1.09-494.fc37.noarch 166/185 Verifying : perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch 167/185 Verifying : perl-if-0.61.000-494.fc37.noarch 168/185 Verifying : perl-interpreter-4:5.36.1-494.fc37.aarch64 169/185 Verifying : perl-lib-0.65-494.fc37.aarch64 170/185 Verifying : perl-libs-4:5.36.1-494.fc37.aarch64 171/185 Verifying : perl-locale-1.10-494.fc37.noarch 172/185 Verifying : perl-mro-1.26-494.fc37.aarch64 173/185 Verifying : perl-overload-1.35-494.fc37.noarch 174/185 Verifying : perl-overloading-0.02-494.fc37.noarch 175/185 Verifying : perl-subs-1.04-494.fc37.noarch 176/185 Verifying : perl-vars-1.05-494.fc37.noarch 177/185 Verifying : poppler-22.08.0-4.fc37.aarch64 178/185 Verifying : poppler-glib-22.08.0-4.fc37.aarch64 179/185 Verifying : python-pip-wheel-22.2.2-3.fc37.noarch 180/185 Verifying : python-setuptools-wheel-62.6.0-3.fc37.noarch 181/185 Verifying : python3-3.11.6-1.fc37.aarch64 182/185 Verifying : python3-libs-3.11.6-1.fc37.aarch64 183/185 Verifying : rav1e-libs-0.6.6-3.fc37.aarch64 184/185 Verifying : readline-devel-8.2-2.fc37.aarch64 185/185 Installed: abc-1.02-20231114.0.git6ca7eab4.fc37.aarch64 abc-libs-1.02-20231114.0.git6ca7eab4.fc37.aarch64 adobe-mappings-cmap-20230622-1.fc37.noarch adobe-mappings-cmap-deprecated-20230622-1.fc37.noarch adobe-mappings-pdf-20190401-2.fc37.noarch annobin-docs-12.28-1.fc37.noarch annobin-plugin-gcc-12.28-1.fc37.aarch64 avahi-libs-0.8-18.fc37.aarch64 bison-3.8.2-3.fc37.aarch64 cairo-1.17.6-2.fc37.aarch64 cairo-gobject-1.17.6-2.fc37.aarch64 cpp-12.3.1-1.fc37.aarch64 crypto-policies-scripts-20220815-1.gite4ed860.fc37.noarch cups-libs-1:2.4.7-2.fc37.aarch64 dbus-libs-1:1.14.10-1.fc37.aarch64 expat-2.5.0-1.fc37.aarch64 flex-2.6.4-11.fc37.aarch64 fontconfig-2.14.1-2.fc37.aarch64 fonts-filesystem-1:2.0.5-9.fc37.noarch freetype-2.12.1-3.fc37.aarch64 fribidi-1.0.12-2.fc37.aarch64 gc-8.0.6-4.fc37.aarch64 gcc-12.3.1-1.fc37.aarch64 gcc-c++-12.3.1-1.fc37.aarch64 gcc-plugin-annobin-12.3.1-1.fc37.aarch64 gd-2.3.3-9.fc37.aarch64 gdk-pixbuf2-2.42.10-1.fc37.aarch64 git-2.42.0-2.fc37.aarch64 git-core-2.42.0-2.fc37.aarch64 git-core-doc-2.42.0-2.fc37.noarch glib2-2.74.7-2.fc37.aarch64 glibc-devel-2.36-18.fc37.aarch64 gnutls-3.8.1-1.fc37.aarch64 google-droid-sans-fonts-20200215-13.fc37.noarch google-noto-fonts-common-20201206^1.git0c78c8329-8.fc37.noarch google-noto-sans-vf-fonts-20201206^1.git0c78c8329-8.fc37.noarch graphite2-1.3.14-10.fc37.aarch64 graphviz-5.0.0-4.fc37.aarch64 groff-base-1.22.4-10.fc37.aarch64 gts-0.7.6-42.20121130.fc37.aarch64 guile22-2.2.7-6.fc37.aarch64 harfbuzz-5.2.0-1.fc37.aarch64 highway-1.0.7-1.fc37.aarch64 iverilog-13.0-20231116.0.gitc9548f03.fc37.aarch64 jbig2dec-libs-0.19-7.fc37.aarch64 jbigkit-libs-2.1-24.fc37.aarch64 kernel-headers-6.5.4-100.fc37.aarch64 langpacks-core-font-en-3.0-26.fc37.noarch lasi-1.1.3-9.fc37.aarch64 lcms2-2.14-1.fc37.aarch64 less-633-1.fc37.aarch64 libX11-1.8.4-1.fc37.aarch64 libX11-common-1.8.4-1.fc37.noarch libXau-1.0.10-1.fc37.aarch64 libXext-1.3.4-9.fc37.aarch64 libXft-2.3.4-3.fc37.aarch64 libXpm-3.5.15-2.fc37.aarch64 libXrender-0.9.10-17.fc37.aarch64 libaom-3.7.0-1.fc37.aarch64 libasan-12.3.1-1.fc37.aarch64 libatomic-12.3.1-1.fc37.aarch64 libavif-0.11.1-4.fc37.aarch64 libb2-0.98.1-7.fc37.aarch64 libcbor-0.7.0-7.fc37.aarch64 libdatrie-0.2.13-4.fc37.aarch64 libdav1d-1.2.1-1.fc37.aarch64 libedit-3.1-43.20221009cvs.fc37.aarch64 libffi-devel-3.4.4-1.fc37.aarch64 libfido2-1.11.0-3.fc37.aarch64 libfontenc-1.1.4-4.fc37.aarch64 libgs-9.56.1-9.fc37.aarch64 libijs-0.35-16.fc37.aarch64 libimagequant-2.17.0-3.fc37.aarch64 libjpeg-turbo-2.1.3-2.fc37.aarch64 libjxl-1:0.7.0-5.fc37.aarch64 libmpc-1.2.1-5.fc37.aarch64 libpaper-1.1.28-5.fc37.aarch64 libpng-2:1.6.37-13.fc37.aarch64 librsvg2-2.54.6-1.fc37.aarch64 libstdc++-devel-12.3.1-1.fc37.aarch64 libthai-0.1.29-3.fc37.aarch64 libtiff-4.4.0-4.fc37.aarch64 libtool-ltdl-2.4.7-2.fc37.aarch64 libubsan-12.3.1-1.fc37.aarch64 libwebp-1.3.2-2.fc37.aarch64 libxcb-1.13.1-10.fc37.aarch64 libxcrypt-devel-4.4.36-1.fc37.aarch64 m4-1.4.19-4.fc37.aarch64 make-1:4.3-11.fc37.aarch64 mkfontscale-1.2.2-2.fc37.aarch64 mpdecimal-2.5.1-4.fc37.aarch64 ncurses-6.4-3.20230114.fc37.aarch64 ncurses-c++-libs-6.4-3.20230114.fc37.aarch64 ncurses-devel-6.4-3.20230114.fc37.aarch64 netpbm-11.02.00-1.fc37.aarch64 nettle-3.8-2.fc37.aarch64 nspr-4.35.0-11.fc37.aarch64 nss-3.94.0-2.fc37.aarch64 nss-softokn-3.94.0-2.fc37.aarch64 nss-softokn-freebl-3.94.0-2.fc37.aarch64 nss-sysinit-3.94.0-2.fc37.aarch64 nss-util-3.94.0-2.fc37.aarch64 openjpeg2-2.5.0-5.fc37.aarch64 openssh-8.8p1-11.fc37.aarch64 openssh-clients-8.8p1-11.fc37.aarch64 pango-1.50.14-1.fc37.aarch64 perl-Carp-1.52-489.fc37.noarch perl-Class-Struct-0.66-494.fc37.noarch perl-DynaLoader-1.52-494.fc37.aarch64 perl-Encode-4:3.19-492.fc37.aarch64 perl-Errno-1.36-494.fc37.aarch64 perl-Error-1:0.17029-10.fc37.noarch perl-Exporter-5.77-489.fc37.noarch perl-Fcntl-1.15-494.fc37.aarch64 perl-File-Basename-2.85-494.fc37.noarch perl-File-Find-1.40-494.fc37.noarch perl-File-Path-2.18-489.fc37.noarch perl-File-Temp-1:0.231.100-489.fc37.noarch perl-File-stat-1.12-494.fc37.noarch perl-Getopt-Long-1:2.54-1.fc37.noarch perl-Getopt-Std-1.13-494.fc37.noarch perl-Git-2.42.0-2.fc37.noarch perl-HTTP-Tiny-0.086-1.fc37.noarch perl-IO-1.50-494.fc37.aarch64 perl-IPC-Open3-1.22-494.fc37.noarch perl-MIME-Base64-3.16-489.fc37.aarch64 perl-POSIX-2.03-494.fc37.aarch64 perl-PathTools-3.84-489.fc37.aarch64 perl-Pod-Escapes-1:1.07-489.fc37.noarch perl-Pod-Perldoc-3.28.01-490.fc37.noarch perl-Pod-Simple-1:3.43-490.fc37.noarch perl-Pod-Usage-4:2.03-3.fc37.noarch perl-Scalar-List-Utils-5:1.63-489.fc37.aarch64 perl-SelectSaver-1.02-494.fc37.noarch perl-Socket-4:2.036-1.fc37.aarch64 perl-Storable-1:3.26-489.fc37.aarch64 perl-Symbol-1.09-494.fc37.noarch perl-Term-ANSIColor-5.01-490.fc37.noarch perl-Term-Cap-1.17-489.fc37.noarch perl-TermReadKey-2.38-14.fc37.aarch64 perl-Text-ParseWords-3.31-489.fc37.noarch perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch perl-Time-Local-2:1.300-489.fc37.noarch perl-constant-1.33-490.fc37.noarch perl-if-0.61.000-494.fc37.noarch perl-interpreter-4:5.36.1-494.fc37.aarch64 perl-lib-0.65-494.fc37.aarch64 perl-libs-4:5.36.1-494.fc37.aarch64 perl-locale-1.10-494.fc37.noarch perl-mro-1.26-494.fc37.aarch64 perl-overload-1.35-494.fc37.noarch perl-overloading-0.02-494.fc37.noarch perl-parent-1:0.238-489.fc37.noarch perl-podlators-1:4.14-489.fc37.noarch perl-subs-1.04-494.fc37.noarch perl-vars-1.05-494.fc37.noarch pixman-0.40.0-6.fc37.aarch64 poppler-22.08.0-4.fc37.aarch64 poppler-data-0.4.11-3.fc37.noarch poppler-glib-22.08.0-4.fc37.aarch64 python-pip-wheel-22.2.2-3.fc37.noarch python-setuptools-wheel-62.6.0-3.fc37.noarch python3-3.11.6-1.fc37.aarch64 python3-libs-3.11.6-1.fc37.aarch64 rav1e-libs-0.6.6-3.fc37.aarch64 readline-devel-8.2-2.fc37.aarch64 shared-mime-info-2.2-2.fc37.aarch64 tcl-1:8.6.12-3.fc37.aarch64 tcl-devel-1:8.6.12-3.fc37.aarch64 txt2man-1.7.1-3.fc37.noarch urw-base35-bookman-fonts-20200910-15.fc37.noarch urw-base35-c059-fonts-20200910-15.fc37.noarch urw-base35-d050000l-fonts-20200910-15.fc37.noarch urw-base35-fonts-20200910-15.fc37.noarch urw-base35-fonts-common-20200910-15.fc37.noarch urw-base35-gothic-fonts-20200910-15.fc37.noarch urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37.noarch urw-base35-nimbus-roman-fonts-20200910-15.fc37.noarch urw-base35-nimbus-sans-fonts-20200910-15.fc37.noarch urw-base35-p052-fonts-20200910-15.fc37.noarch urw-base35-standard-symbols-ps-fonts-20200910-15.fc37.noarch urw-base35-z003-fonts-20200910-15.fc37.noarch xml-common-0.6.3-59.fc37.noarch xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noarch zlib-devel-1.2.12-5.fc37.aarch64 Complete! Finish: build setup for yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm Start: rpmbuild yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm warning: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.90zSGU + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys + /usr/bin/mkdir -p yosys + cd yosys + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/YosysHQ/yosys.git . Cloning into '.'... + git fetch --depth 1 origin 8614d9b32f588ee14f615a4c98bb6ddc9ea35556 From https://github.com/YosysHQ/yosys * branch 8614d9b32f588ee14f615a4c98bb6ddc9ea35556 -> FETCH_HEAD + git reset --hard 8614d9b32f588ee14f615a4c98bb6ddc9ea35556 HEAD is now at 8614d9b Bump version + git log --format=fuller commit 8614d9b32f588ee14f615a4c98bb6ddc9ea35556 Author: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> AuthorDate: Wed Nov 29 00:16:09 2023 +0000 Commit: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> CommitDate: Wed Nov 29 00:16:09 2023 +0000 Bump version + echo 'Patch #1 (yosys-cfginc.patch):' Patch #1 (yosys-cfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 791 (offset 1 line). Patch #2 (yosys-mancfginc.patch): + echo 'Patch #2 (yosys-mancfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/PRESENTATION_Prog/Makefile + find manual -name '*.tex' -exec sed -i 's|{luximono}|{libertine}|g' '{}' + + find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' + + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.LShmKE + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys + make config-gcc /bin/sh: line 1: clang: command not found rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_8614d9b32.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys/docs' rm -rf build/* make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs' make -C docs/images clean make[1]: Entering directory '/builddir/build/BUILD/yosys/docs/images' rm -f *.log rm -f *.aux rm -f 011/*.log 011/*.aux rm -f *.pdf rm -f *.svg rm -f 011/*.pdf 011/*.svg make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs/images' rm -rf docs/source/cmd docs/util/__pycache__ echo 'CONFIG := gcc' > Makefile.conf + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j4 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common mkdir -p techlibs/common gcc -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.35+56 (git sha1 8614d9b32, gcc 12.3.1 -O2 -fexceptions -fstack-protector-strong -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fPIC -Os)\"; }" > kernel/version_8614d9b32.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc gcc -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ gcc -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ gcc -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ gcc -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/yw.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ gcc -o kernel/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ gcc -o kernel/fmt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here 1259 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here 1231 | int undef_srst; | ^~~~~~~~~~ mkdir -p libs/json11/ gcc -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/fst/ gcc -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ gcc -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ mkdir -p passes/cmds/ gcc -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc gcc -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/viz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitcells.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ gcc -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ gcc -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ gcc -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ gcc -o passes/cmds/xprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ gcc -o passes/cmds/dft_tag.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ gcc -o passes/cmds/future.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ gcc -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/recover_names.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ gcc -o passes/sat/synthprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/booth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/techmap/abc.cc:2005:50: warning: 'g_argidx' may be used uninitialized [-Wmaybe-uninitialized] 2005 | cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str())); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/techmap/abc.cc:1719:32: note: 'g_argidx' was declared here 1719 | size_t argidx, g_argidx; | ^~~~~~~~ mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bwmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ gcc -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ gcc -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ gcc -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ gcc -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ gcc -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/synth_lattice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/lattice_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/nexus/ gcc -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ gcc -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I"/usr/share/yosys/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus mkdir -p share/quicklogic cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v mkdir -p share/quicklogic mkdir -p share/sf2 cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/version_8614d9b32.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_8614d9b32.cc gcc -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ gcc -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic kernel/version_8614d9b32.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.LbKkAS + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 ++ dirname /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/include/yosys + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 0.35-20231129.0.git8614d9b3.fc37 --unique-debug-suffix -0.35-20231129.0.git8614d9b3.fc37.aarch64 --unique-debug-src-base yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/bin/yosys-filterlib extracting debug info from /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/bin/yosys dwz: Too few files for multifile optimization original debug info size: 284380kB, size after compression: 242844kB /usr/bin/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 13901 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash + /usr/lib/rpm/brp-remove-la-files + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.7P6wnw + umask 022 + cd /builddir/build/BUILD + cd yosys + DOCDIR=/builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/doc/yosys + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/doc/yosys + cp -pr README.md /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.YT5x8D + umask 022 + cd /builddir/build/BUILD + cd yosys + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/licenses/yosys + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/licenses/yosys + cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.35-20231129.0.git8614d9b3.fc37 yosys(aarch-64) = 0.35-20231129.0.git8614d9b3.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash /usr/bin/python3 ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libffi.so.8()(64bit) libffi.so.8(LIBFFI_BASE_8.0)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.35-20231129.0.git8614d9b3.fc37.aarch64 Provides: yosys-doc = 0.35-20231129.0.git8614d9b3.fc37 yosys-doc(aarch-64) = 0.35-20231129.0.git8614d9b3.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.35-20231129.0.git8614d9b3.fc37.noarch Provides: yosys-share = 0.35-20231129.0.git8614d9b3.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.35-20231129.0.git8614d9b3.fc37.aarch64 Provides: yosys-devel = 0.35-20231129.0.git8614d9b3.fc37 yosys-devel(aarch-64) = 0.35-20231129.0.git8614d9b3.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.35-20231129.0.git8614d9b3.fc37.aarch64 Provides: yosys-debugsource = 0.35-20231129.0.git8614d9b3.fc37 yosys-debugsource(aarch-64) = 0.35-20231129.0.git8614d9b3.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.35-20231129.0.git8614d9b3.fc37.aarch64 Provides: debuginfo(build-id) = 94aea4724f02d5de40af39e46d3993584e25ece6 debuginfo(build-id) = d41156cfd00328982efd585c5b5af6aba4a5dbc9 yosys-debuginfo = 0.35-20231129.0.git8614d9b3.fc37 yosys-debuginfo(aarch-64) = 0.35-20231129.0.git8614d9b3.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(aarch-64) = 0.35-20231129.0.git8614d9b3.fc37 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 Wrote: /builddir/build/RPMS/yosys-share-0.35-20231129.0.git8614d9b3.fc37.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.35-20231129.0.git8614d9b3.fc37.aarch64.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.35-20231129.0.git8614d9b3.fc37.aarch64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.35-20231129.0.git8614d9b3.fc37.aarch64.rpm Wrote: /builddir/build/RPMS/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.35-20231129.0.git8614d9b3.fc37.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.46BL2b + umask 022 + cd /builddir/build/BUILD + cd yosys + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.35-20231129.0.git8614d9b3.fc37.aarch64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.FzowtC + umask 022 + cd /builddir/build/BUILD + rm -rf yosys yosys.gemspec + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Finish: rpmbuild yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm Finish: build phase for yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-37-aarch64-1701307022.946934/root/var/log/dnf.rpm.log /var/lib/mock/fedora-37-aarch64-1701307022.946934/root/var/log/dnf.librepo.log /var/lib/mock/fedora-37-aarch64-1701307022.946934/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.35-20231129.0.git8614d9b3.fc37.src.rpm) Config(child) 7 minutes 10 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "yosys", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "src" }, { "name": "yosys-debugsource", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "aarch64" }, { "name": "yosys", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "aarch64" }, { "name": "yosys-doc", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "aarch64" }, { "name": "yosys-share", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "noarch" }, { "name": "yosys-devel", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "aarch64" }, { "name": "yosys-debuginfo", "epoch": null, "version": "0.35", "release": "20231129.0.git8614d9b3.fc37", "arch": "aarch64" } ] } RPMResults finished