Mock Version: 3.5 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target ppc64le --nodeps /builddir/build/SPECS/edalize.spec'], chrootPath='/var/lib/mock/fedora-37-ppc64le-1673341666.297855/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.lgrruk0m:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=FalseprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.lgrruk0m:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', 'eb9e71e6d37f4502b5a61bb81617a514', '-D', '/var/lib/mock/fedora-37-ppc64le-1673341666.297855/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.lgrruk0m:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target ppc64le --nodeps /builddir/build/SPECS/edalize.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1638662400 Wrote: /builddir/build/SRPMS/edalize-0.4.1-20230106.0.git3577d3f1.fc37.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target ppc64le --nodeps /builddir/build/SPECS/edalize.spec'], chrootPath='/var/lib/mock/fedora-37-ppc64le-1673341666.297855/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.lgrruk0m:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=FalseprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.lgrruk0m:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '691d9cea8ef94eda90dee145f2604192', '-D', '/var/lib/mock/fedora-37-ppc64le-1673341666.297855/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.lgrruk0m:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target ppc64le --nodeps /builddir/build/SPECS/edalize.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1638662400 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Qz7LYO + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf edalize + /usr/bin/mkdir -p edalize + cd edalize + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/olofk/edalize.git . Cloning into '.'... + git fetch --depth 1 origin 3577d3f180f56499bcf13e00bfdfa8181f203791 From https://github.com/olofk/edalize * branch 3577d3f180f56499bcf13e00bfdfa8181f203791 -> FETCH_HEAD + git reset --hard 3577d3f180f56499bcf13e00bfdfa8181f203791 HEAD is now at 3577d3f Restore formatting + git log --format=fuller commit 3577d3f180f56499bcf13e00bfdfa8181f203791 Author: Olof Kindgren AuthorDate: Fri Jan 6 23:12:23 2023 +0100 Commit: Olof Kindgren CommitDate: Fri Jan 6 23:13:14 2023 +0100 Restore formatting + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.2GvE9x + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd edalize + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib creating build/lib/edalize copying edalize/yosys.py -> build/lib/edalize copying edalize/xsim.py -> build/lib/edalize copying edalize/xcelium.py -> build/lib/edalize copying edalize/vunit_hooks.py -> build/lib/edalize copying edalize/vunit.py -> build/lib/edalize copying edalize/vpr.py -> build/lib/edalize copying edalize/vivado_reporting.py -> build/lib/edalize copying edalize/vivado.py -> build/lib/edalize copying edalize/verilator.py -> build/lib/edalize copying edalize/veriblelint.py -> build/lib/edalize copying edalize/veribleformat.py -> build/lib/edalize copying edalize/vcs.py -> build/lib/edalize copying edalize/utils.py -> build/lib/edalize copying edalize/trellis.py -> build/lib/edalize copying edalize/symbiyosys.py -> build/lib/edalize copying edalize/symbiflow.py -> build/lib/edalize copying edalize/spyglass.py -> build/lib/edalize copying edalize/slang.py -> build/lib/edalize copying edalize/rivierapro.py -> build/lib/edalize copying edalize/reporting.py -> build/lib/edalize copying edalize/radiant.py -> build/lib/edalize copying edalize/questaformal.py -> build/lib/edalize copying edalize/quartus_reporting.py -> build/lib/edalize copying edalize/quartus.py -> build/lib/edalize copying edalize/oxide.py -> build/lib/edalize copying edalize/openlane.py -> build/lib/edalize copying edalize/openfpga.py -> build/lib/edalize copying edalize/nextpnr.py -> build/lib/edalize copying edalize/morty.py -> build/lib/edalize copying edalize/modelsim.py -> build/lib/edalize copying edalize/mistral.py -> build/lib/edalize copying edalize/libero.py -> build/lib/edalize copying edalize/isim.py -> build/lib/edalize copying edalize/ise_reporting.py -> build/lib/edalize copying edalize/ise.py -> build/lib/edalize copying edalize/icestorm.py -> build/lib/edalize copying edalize/icarus.py -> build/lib/edalize copying edalize/ghdl.py -> build/lib/edalize copying edalize/gatemate.py -> build/lib/edalize copying edalize/filelist.py -> build/lib/edalize copying edalize/f4pga.py -> build/lib/edalize copying edalize/edatool.py -> build/lib/edalize copying edalize/diamond.py -> build/lib/edalize copying edalize/ascentlint.py -> build/lib/edalize copying edalize/apicula.py -> build/lib/edalize copying edalize/__init__.py -> build/lib/edalize creating build/lib/edalize/tools copying edalize/tools/yosys.py -> build/lib/edalize/tools copying edalize/tools/vpr.py -> build/lib/edalize/tools copying edalize/tools/vivado.py -> build/lib/edalize/tools copying edalize/tools/verilator.py -> build/lib/edalize/tools copying edalize/tools/sv2v.py -> build/lib/edalize/tools copying edalize/tools/surelog.py -> build/lib/edalize/tools copying edalize/tools/nextpnr.py -> build/lib/edalize/tools copying edalize/tools/icetime.py -> build/lib/edalize/tools copying edalize/tools/icepack.py -> build/lib/edalize/tools copying edalize/tools/icarus.py -> build/lib/edalize/tools copying edalize/tools/ghdl.py -> build/lib/edalize/tools copying edalize/tools/edatool.py -> build/lib/edalize/tools copying edalize/tools/__init__.py -> build/lib/edalize/tools creating build/lib/edalize/flows copying edalize/flows/vpr.py -> build/lib/edalize/flows copying edalize/flows/vivado.py -> build/lib/edalize/flows copying edalize/flows/sim.py -> build/lib/edalize/flows copying edalize/flows/lint.py -> build/lib/edalize/flows copying edalize/flows/icestorm.py -> build/lib/edalize/flows copying edalize/flows/f4pga.py -> build/lib/edalize/flows copying edalize/flows/edaflow.py -> build/lib/edalize/flows copying edalize/flows/__init__.py -> build/lib/edalize/flows creating build/lib/edalize/templates creating build/lib/edalize/templates/yosys copying edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/templates/yosys copying edalize/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/templates/yosys creating build/lib/edalize/templates/openfpga copying edalize/templates/openfpga/task_simulation.conf.j2 -> build/lib/edalize/templates/openfpga creating build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/Makefile.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-project.prj.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/lib/edalize/templates/spyglass creating build/lib/edalize/templates/vcs copying edalize/templates/vcs/Makefile.j2 -> build/lib/edalize/templates/vcs creating build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/templates/vivado creating build/lib/edalize/templates/vunit copying edalize/templates/vunit/run.py.j2 -> build/lib/edalize/templates/vunit creating build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-project.tcl.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-std-makefile.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-pro-makefile.j2 -> build/lib/edalize/templates/quartus creating build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/Makefile.j2 -> build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/lib/edalize/templates/ascentlint creating build/lib/edalize/templates/libero copying edalize/templates/libero/libero-project.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-run.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-syn-user.tcl.j2 -> build/lib/edalize/templates/libero creating build/lib/edalize/templates/ghdl copying edalize/templates/ghdl/Makefile.j2 -> build/lib/edalize/templates/ghdl creating build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-makefile.j2 -> build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-script-tcl.j2 -> build/lib/edalize/templates/openlane creating build/lib/edalize/tools/templates creating build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/tools/templates/yosys creating build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/tools/templates/vivado running build_scripts creating build/scripts-3.11 copying and adjusting scripts/el_docker -> build/scripts-3.11 changing mode of build/scripts-3.11/el_docker from 644 to 755 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.p8cY25 + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le '!=' / ']' + rm -rf /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le ++ dirname /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd edalize + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le --prefix /usr running install /usr/lib/python3.11/site-packages/setuptools/command/install.py:34: SetuptoolsDeprecationWarning: setup.py install is deprecated. Use build and pip and other standards-based tools. warnings.warn( running install_lib creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11 creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/openlane copying build/lib/edalize/templates/openlane/openlane-script-tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/openlane copying build/lib/edalize/templates/openlane/openlane-makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/openlane creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/ghdl copying build/lib/edalize/templates/ghdl/Makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/ghdl creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/libero copying build/lib/edalize/templates/libero/libero-syn-user.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/libero copying build/lib/edalize/templates/libero/libero-run.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/libero copying build/lib/edalize/templates/libero/libero-project.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/libero creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/ascentlint copying build/lib/edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/ascentlint copying build/lib/edalize/templates/ascentlint/Makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/ascentlint creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-pro-makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-std-makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-project.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/quartus creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vunit copying build/lib/edalize/templates/vunit/run.py.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vunit creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-synth.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-run.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-project.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-program.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vivado creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vcs copying build/lib/edalize/templates/vcs/Makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/vcs creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/spyglass-project.prj.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/Makefile.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/spyglass creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/openfpga copying build/lib/edalize/templates/openfpga/task_simulation.conf.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/openfpga creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/yosys copying build/lib/edalize/templates/yosys/yosys-script-tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/yosys copying build/lib/edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/templates/yosys creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/__init__.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/edaflow.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/f4pga.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/icestorm.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/lint.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/sim.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/vivado.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/vpr.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-run.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-project.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-program.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/yosys copying build/lib/edalize/tools/templates/yosys/yosys-script-tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/yosys copying build/lib/edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/templates/yosys copying build/lib/edalize/tools/__init__.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/edatool.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/ghdl.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/icarus.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/icepack.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/icetime.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/nextpnr.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/surelog.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/sv2v.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/verilator.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/vivado.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/vpr.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/yosys.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/__init__.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/apicula.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ascentlint.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/diamond.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/edatool.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/f4pga.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/filelist.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/gatemate.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ghdl.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/icarus.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/icestorm.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ise.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ise_reporting.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/isim.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/libero.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/mistral.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/modelsim.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/morty.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/nextpnr.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/openfpga.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/openlane.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/oxide.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/quartus.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/quartus_reporting.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/questaformal.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/radiant.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/reporting.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/rivierapro.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/slang.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/spyglass.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/symbiflow.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/symbiyosys.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/trellis.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/utils.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vcs.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/veribleformat.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/veriblelint.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/verilator.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vivado.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vivado_reporting.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vpr.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vunit.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vunit_hooks.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/xcelium.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/xsim.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/yosys.py -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/__init__.py to __init__.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/edaflow.py to edaflow.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/f4pga.py to f4pga.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/icestorm.py to icestorm.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/lint.py to lint.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/sim.py to sim.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/vivado.py to vivado.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/flows/vpr.py to vpr.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/__init__.py to __init__.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/edatool.py to edatool.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/ghdl.py to ghdl.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/icarus.py to icarus.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/icepack.py to icepack.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/icetime.py to icetime.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/nextpnr.py to nextpnr.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/surelog.py to surelog.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/sv2v.py to sv2v.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/verilator.py to verilator.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/vivado.py to vivado.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/vpr.py to vpr.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/tools/yosys.py to yosys.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/__init__.py to __init__.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/apicula.py to apicula.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/ascentlint.py to ascentlint.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/diamond.py to diamond.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/edatool.py to edatool.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/f4pga.py to f4pga.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/filelist.py to filelist.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/gatemate.py to gatemate.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/ghdl.py to ghdl.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/icarus.py to icarus.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/icestorm.py to icestorm.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/ise.py to ise.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/ise_reporting.py to ise_reporting.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/isim.py to isim.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/libero.py to libero.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/mistral.py to mistral.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/modelsim.py to modelsim.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/morty.py to morty.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/nextpnr.py to nextpnr.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/openfpga.py to openfpga.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/openlane.py to openlane.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/oxide.py to oxide.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/quartus.py to quartus.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/quartus_reporting.py to quartus_reporting.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/questaformal.py to questaformal.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/radiant.py to radiant.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/reporting.py to reporting.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/rivierapro.py to rivierapro.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/slang.py to slang.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/spyglass.py to spyglass.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/symbiflow.py to symbiflow.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/symbiyosys.py to symbiyosys.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/trellis.py to trellis.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/utils.py to utils.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/vcs.py to vcs.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/veribleformat.py to veribleformat.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/veriblelint.py to veriblelint.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/verilator.py to verilator.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/vivado.py to vivado.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/vivado_reporting.py to vivado_reporting.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/vpr.py to vpr.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/vunit.py to vunit.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/vunit_hooks.py to vunit_hooks.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/xcelium.py to xcelium.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/xsim.py to xsim.cpython-311.pyc byte-compiling /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize/yosys.py to yosys.cpython-311.pyc writing byte-compilation script '/tmp/tmpi5hop2a0.py' /usr/bin/python3 /tmp/tmpi5hop2a0.py removing /tmp/tmpi5hop2a0.py running install_egg_info running egg_info creating edalize.egg-info writing edalize.egg-info/PKG-INFO writing dependency_links to edalize.egg-info/dependency_links.txt writing requirements to edalize.egg-info/requires.txt writing top-level names to edalize.egg-info/top_level.txt writing manifest file 'edalize.egg-info/SOURCES.txt' reading manifest file 'edalize.egg-info/SOURCES.txt' adding license file 'LICENSE' writing manifest file 'edalize.egg-info/SOURCES.txt' Copying edalize.egg-info to /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11/site-packages/edalize-0.4.1-py3.11.egg-info running install_scripts creating /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/bin copying build/scripts-3.11/el_docker -> /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/bin changing mode of /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/bin/el_docker to 755 + rm -rfv /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j5 --strict-build-id -m -i --build-id-seed 0.4.1-20230106.0.git3577d3f1.fc37 --unique-debug-suffix -0.4.1-20230106.0.git3577d3f1.fc37.ppc64le --unique-debug-src-base edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/edalize find: 'debug': No such file or directory + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 Bytecompiling .py files below /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/lib/python3.11 using python3.11 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: edalize-python3-0.4.1-20230106.0.git3577d3f1.fc37.noarch Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.Yx5Kxv + umask 022 + cd /builddir/build/BUILD + cd edalize + DOCDIR=/builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/share/doc/edalize-python3 + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/share/doc/edalize-python3 + cp -pr README.rst /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/share/doc/edalize-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.HfXnFp + umask 022 + cd /builddir/build/BUILD + cd edalize + LICENSEDIR=/builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/share/licenses/edalize-python3 + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/share/licenses/edalize-python3 + cp -pr LICENSE /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le/usr/share/licenses/edalize-python3 + RPM_EC=0 ++ jobs -p + exit 0 Provides: edalize edalize-python3 = 0.4.1-20230106.0.git3577d3f1.fc37 python3.11dist(edalize) = 0.4.1 python3dist(edalize) = 0.4.1 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 python(abi) = 3.11 python3.11dist(jinja2) >= 3 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le Wrote: /builddir/build/RPMS/edalize-python3-0.4.1-20230106.0.git3577d3f1.fc37.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.bB8Jvn + umask 022 + cd /builddir/build/BUILD + cd edalize + /usr/bin/rm -rf /builddir/build/BUILDROOT/edalize-0.4.1-20230106.0.git3577d3f1.fc37.ppc64le + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.mIwv2b + umask 022 + cd /builddir/build/BUILD + rm -rf edalize edalize.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0