Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c1d5' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/6637011-fedora-37-x86_64 --chroot fedora-37-x86_64 Version: 0.69 PID: 5857 Logging PID: 5858 Task: {'appstream': False, 'background': False, 'build_id': 6637011, 'buildroot_pkgs': [], 'chroot': 'fedora-37-x86_64', 'enable_net': True, 'fedora_review': False, 'git_hash': '35c402629c2a40cf749f13e486f679650fdcc55d', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.35-20231115.0.git7eea0477', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-37-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-37-x86_64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-37-x86_64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'submitter': 'rezso', 'tags': [], 'task_id': '6637011-fedora-37-x86_64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys'... Running: git checkout 35c402629c2a40cf749f13e486f679650fdcc55d -- cmd: ['git', 'checkout', '35c402629c2a40cf749f13e486f679650fdcc55d', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys rc: 0 stdout: stderr: Note: switching to '35c402629c2a40cf749f13e486f679650fdcc55d'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 35c4026 automatic import of yosys Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys rc: 0 stdout: /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1700011767.954117 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.2 starting (python version = 3.11.3, NVR = mock-5.2-1.fc38), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1700011767.954117 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys/yosys.spec) Config(fedora-37-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.2 INFO: Mock Version: 5.2 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-x86_64-bootstrap-1700011767.954117/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:37 INFO: Pulling image: registry.fedoraproject.org/fedora:37 INFO: Copy content of container registry.fedoraproject.org/fedora:37 to /var/lib/mock/fedora-37-x86_64-bootstrap-1700011767.954117/root INFO: Checking that registry.fedoraproject.org/fedora:37 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:37 with podman image mount INFO: image registry.fedoraproject.org/fedora:37 as /var/lib/containers/storage/overlay/8e51bf818a50adbb50d11ee1b0553e87cf49ea7fc0ce771956e23d958a7a1fe8/merged INFO: umounting image registry.fedoraproject.org/fedora:37 (/var/lib/containers/storage/overlay/8e51bf818a50adbb50d11ee1b0553e87cf49ea7fc0ce771956e23d958a7a1fe8/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 5.9 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 4.6 MB/s | 919 kB 00:00 Additional repo copr_rezso_CUDA 485 kB/s | 66 kB 00:00 Additional repo http_developer_download_nvidia_ 23 MB/s | 2.8 MB 00:00 Additional repo http_developer_download_nvidia_ 16 MB/s | 1.7 MB 00:00 Additional repo http_developer_download_nvidia_ 16 MB/s | 1.6 MB 00:00 fedora 2.3 MB/s | 82 MB 00:35 updates 465 kB/s | 40 MB 01:28 Package python3-dnf-4.18.0-2.fc37.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.4.3-1.fc37 updates 322 k Installing dependencies: dbus-libs x86_64 1:1.14.10-1.fc37 updates 156 k python3-dateutil noarch 1:2.8.2-4.fc37 fedora 361 k python3-dbus x86_64 1.3.2-1.fc37 updates 157 k python3-distro noarch 1.7.0-3.fc37 fedora 48 k python3-six noarch 1.16.0-8.fc37 fedora 42 k python3-systemd x86_64 235-1.fc37 fedora 108 k Transaction Summary ================================================================================ Install 7 Packages Total download size: 1.2 M Installed size: 3.7 M Downloading Packages: (1/7): python3-six-1.16.0-8.fc37.noarch.rpm 1.0 MB/s | 42 kB 00:00 (2/7): python3-distro-1.7.0-3.fc37.noarch.rpm 1.1 MB/s | 48 kB 00:00 (3/7): python3-systemd-235-1.fc37.x86_64.rpm 3.9 MB/s | 108 kB 00:00 (4/7): python3-dateutil-2.8.2-4.fc37.noarch.rpm 4.6 MB/s | 361 kB 00:00 (5/7): python3-dbus-1.3.2-1.fc37.x86_64.rpm 406 kB/s | 157 kB 00:00 (6/7): dbus-libs-1.14.10-1.fc37.x86_64.rpm 59 kB/s | 156 kB 00:02 (7/7): python3-dnf-plugins-core-4.4.3-1.fc37.no 45 kB/s | 322 kB 00:07 -------------------------------------------------------------------------------- Total 164 kB/s | 1.2 MB 00:07 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : dbus-libs-1:1.14.10-1.fc37.x86_64 1/7 Installing : python3-dbus-1.3.2-1.fc37.x86_64 2/7 Installing : python3-systemd-235-1.fc37.x86_64 3/7 Installing : python3-six-1.16.0-8.fc37.noarch 4/7 Installing : python3-dateutil-1:2.8.2-4.fc37.noarch 5/7 Installing : python3-distro-1.7.0-3.fc37.noarch 6/7 Installing : python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Running scriptlet: python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Verifying : python3-dateutil-1:2.8.2-4.fc37.noarch 1/7 Verifying : python3-distro-1.7.0-3.fc37.noarch 2/7 Verifying : python3-six-1.16.0-8.fc37.noarch 3/7 Verifying : python3-systemd-235-1.fc37.x86_64 4/7 Verifying : dbus-libs-1:1.14.10-1.fc37.x86_64 5/7 Verifying : python3-dbus-1.3.2-1.fc37.x86_64 6/7 Verifying : python3-dnf-plugins-core-4.4.3-1.fc37.noarch 7/7 Installed: dbus-libs-1:1.14.10-1.fc37.x86_64 python3-dateutil-1:2.8.2-4.fc37.noarch python3-dbus-1.3.2-1.fc37.x86_64 python3-distro-1.7.0-3.fc37.noarch python3-dnf-plugins-core-4.4.3-1.fc37.noarch python3-six-1.16.0-8.fc37.noarch python3-systemd-235-1.fc37.x86_64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-x86_64-1700011767.954117/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 2.9 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 1.6 MB/s | 921 kB 00:00 Additional repo copr_rezso_CUDA 511 kB/s | 66 kB 00:00 Additional repo http_developer_download_nvidia_ 4.0 MB/s | 2.8 MB 00:00 Additional repo http_developer_download_nvidia_ 4.1 MB/s | 1.7 MB 00:00 Additional repo http_developer_download_nvidia_ 8.3 MB/s | 1.6 MB 00:00 fedora 483 kB/s | 82 MB 02:53 updates 6.7 MB/s | 40 MB 00:06 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing group/module packages: bash x86_64 5.2.15-1.fc37 updates 1.8 M bzip2 x86_64 1.0.8-12.fc37 fedora 52 k coreutils x86_64 9.1-8.fc37 updates 1.1 M cpio x86_64 2.13-13.fc37 fedora 277 k diffutils x86_64 3.8-3.fc37 fedora 378 k fedora-release-common noarch 37-18 updates 19 k findutils x86_64 1:4.9.0-2.fc37 fedora 492 k gawk x86_64 5.1.1-4.fc37 fedora 1.0 M glibc-minimal-langpack x86_64 2.36-14.fc37 updates 79 k grep x86_64 3.7-4.fc37 fedora 273 k gzip x86_64 1.12-2.fc37 fedora 166 k info x86_64 6.8-4.fc37 fedora 225 k patch x86_64 2.7.6-17.fc37 fedora 124 k redhat-rpm-config noarch 229-1.fc37 updates 80 k rpm-build x86_64 4.18.1-2.fc37 updates 75 k sed x86_64 4.8-11.fc37 fedora 306 k shadow-utils x86_64 2:4.12.3-6.fc37 updates 1.3 M tar x86_64 2:1.34-6.fc37 updates 885 k unzip x86_64 6.0-58.fc37 fedora 182 k util-linux x86_64 2.38.1-1.fc37 fedora 2.3 M which x86_64 2.21-39.fc37 updates 42 k xz x86_64 5.4.1-1.fc37 updates 419 k Installing dependencies: alternatives x86_64 1.24-1.fc37 updates 39 k ansible-srpm-macros noarch 1-10.fc37 updates 20 k audit-libs x86_64 3.1.2-1.fc37 updates 117 k authselect x86_64 1.4.2-1.fc37 updates 144 k authselect-libs x86_64 1.4.2-1.fc37 updates 250 k basesystem noarch 11-14.fc37 fedora 7.0 k binutils x86_64 2.38-27.fc37 updates 5.4 M binutils-gold x86_64 2.38-27.fc37 updates 773 k bzip2-libs x86_64 1.0.8-12.fc37 fedora 41 k ca-certificates noarch 2023.2.60_v7.0.306-1.0.fc37 updates 837 k coreutils-common x86_64 9.1-8.fc37 updates 2.0 M cracklib x86_64 2.9.7-30.fc37 fedora 92 k crypto-policies noarch 20220815-1.gite4ed860.fc37 fedora 86 k curl x86_64 7.85.0-12.fc37 updates 314 k cyrus-sasl-lib x86_64 2.1.28-8.fc37 fedora 792 k debugedit x86_64 5.0-7.fc37 updates 77 k dwz x86_64 0.14-7.fc37 fedora 129 k ed x86_64 1.18-2.fc37 fedora 78 k efi-srpm-macros noarch 5-6.fc37 fedora 22 k elfutils x86_64 0.189-3.fc37 updates 536 k elfutils-debuginfod-client x86_64 0.189-3.fc37 updates 38 k elfutils-default-yama-scope noarch 0.189-3.fc37 updates 13 k elfutils-libelf x86_64 0.189-3.fc37 updates 194 k elfutils-libs x86_64 0.189-3.fc37 updates 258 k fedora-gpg-keys noarch 37-2 updates 126 k fedora-release noarch 37-18 updates 8.7 k fedora-release-identity-basic noarch 37-18 updates 9.4 k fedora-repos noarch 37-2 updates 9.4 k file x86_64 5.42-4.fc37 fedora 49 k file-libs x86_64 5.42-4.fc37 fedora 676 k filesystem x86_64 3.18-2.fc37 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-9.fc37 fedora 26 k fpc-srpm-macros noarch 1.3-6.fc37 fedora 7.7 k gdb-minimal x86_64 13.2-3.fc37 updates 4.2 M gdbm-libs x86_64 1:1.23-2.fc37 fedora 56 k ghc-srpm-macros noarch 1.6.1-1.fc37 updates 8.0 k glibc x86_64 2.36-14.fc37 updates 2.2 M glibc-common x86_64 2.36-14.fc37 updates 359 k glibc-gconv-extra x86_64 2.36-14.fc37 updates 1.7 M gmp x86_64 1:6.2.1-3.fc37 fedora 315 k gnat-srpm-macros noarch 5-1.fc37 updates 8.3 k go-srpm-macros noarch 3.2.0-1.fc37 fedora 27 k kernel-srpm-macros noarch 1.0-15.fc37 fedora 9.4 k keyutils-libs x86_64 1.6.1-5.fc37 fedora 31 k krb5-libs x86_64 1.19.2-13.fc37 updates 726 k libacl x86_64 2.3.1-4.fc37 fedora 23 k libarchive x86_64 3.6.1-3.fc37 updates 397 k libattr x86_64 2.5.1-5.fc37 fedora 18 k libblkid x86_64 2.38.1-1.fc37 fedora 107 k libbrotli x86_64 1.0.9-9.fc37 fedora 315 k libcap x86_64 2.48-5.fc37 fedora 67 k libcap-ng x86_64 0.8.3-3.fc37 fedora 33 k libcom_err x86_64 1.46.5-3.fc37 fedora 25 k libcurl x86_64 7.85.0-12.fc37 updates 302 k libdb x86_64 5.3.28-53.fc37 fedora 760 k libeconf x86_64 0.5.2-1.fc37 updates 30 k libevent x86_64 2.1.12-7.fc37 fedora 261 k libfdisk x86_64 2.38.1-1.fc37 fedora 160 k libffi x86_64 3.4.4-1.fc37 updates 37 k libgcc x86_64 12.3.1-1.fc37 updates 113 k libgomp x86_64 12.3.1-1.fc37 updates 301 k libidn2 x86_64 2.3.4-1.fc37 updates 160 k libmount x86_64 2.38.1-1.fc37 fedora 135 k libnghttp2 x86_64 1.51.0-2.fc37 updates 74 k libnsl2 x86_64 2.0.0-4.fc37 fedora 30 k libpkgconf x86_64 1.8.0-3.fc37 fedora 36 k libpsl x86_64 0.21.1-6.fc37 fedora 63 k libpwquality x86_64 1.4.5-3.fc37 updates 119 k libselinux x86_64 3.5-1.fc37 updates 86 k libsemanage x86_64 3.5-2.fc37 updates 120 k libsepol x86_64 3.5-1.fc37 updates 322 k libsigsegv x86_64 2.14-3.fc37 fedora 27 k libsmartcols x86_64 2.38.1-1.fc37 fedora 64 k libssh x86_64 0.10.5-1.fc37 updates 211 k libssh-config noarch 0.10.5-1.fc37 updates 8.6 k libstdc++ x86_64 12.3.1-1.fc37 updates 810 k libtasn1 x86_64 4.19.0-1.fc37 updates 75 k libtirpc x86_64 1.3.3-1.rc1.fc37 updates 93 k libunistring x86_64 1.0-2.fc37 fedora 549 k libutempter x86_64 1.2.1-7.fc37 fedora 26 k libuuid x86_64 2.38.1-1.fc37 fedora 28 k libverto x86_64 0.3.2-4.fc37 fedora 21 k libxcrypt x86_64 4.4.36-1.fc37 updates 119 k libxml2 x86_64 2.10.4-1.fc37 updates 705 k libzstd x86_64 1.5.5-1.fc37 updates 304 k lua-libs x86_64 5.4.4-9.fc37 updates 132 k lua-srpm-macros noarch 1-7.fc37 fedora 8.8 k lz4-libs x86_64 1.9.4-1.fc37 updates 67 k mpfr x86_64 4.1.0-10.fc37 fedora 331 k ncurses-base noarch 6.4-3.20230114.fc37 updates 86 k ncurses-libs x86_64 6.4-3.20230114.fc37 updates 329 k nim-srpm-macros noarch 3-7.fc37 fedora 8.4 k ocaml-srpm-macros noarch 7-2.fc37 fedora 13 k openblas-srpm-macros noarch 2-12.fc37 fedora 7.5 k openldap x86_64 2.6.6-1.fc37 updates 253 k openssl-libs x86_64 1:3.0.9-1.fc37 updates 2.1 M p11-kit x86_64 0.25.0-1.fc37 updates 519 k p11-kit-trust x86_64 0.25.0-1.fc37 updates 144 k package-notes-srpm-macros noarch 0.5-7.fc37 updates 11 k pam x86_64 1.5.2-14.fc37 fedora 560 k pam-libs x86_64 1.5.2-14.fc37 fedora 58 k pcre x86_64 8.45-1.fc37.2 fedora 202 k pcre2 x86_64 10.40-1.fc37.1 fedora 236 k pcre2-syntax noarch 10.40-1.fc37.1 fedora 142 k perl-srpm-macros noarch 1-46.fc37 fedora 8.3 k pkgconf x86_64 1.8.0-3.fc37 fedora 41 k pkgconf-m4 noarch 1.8.0-3.fc37 fedora 14 k pkgconf-pkg-config x86_64 1.8.0-3.fc37 fedora 10 k popt x86_64 1.19-1.fc37 fedora 66 k publicsuffix-list-dafsa noarch 20230812-1.fc37 updates 57 k pyproject-srpm-macros noarch 1.10.0-1.fc37 updates 14 k python-srpm-macros noarch 3.11-6.fc37 updates 24 k qt5-srpm-macros noarch 5.15.10-1.fc37 updates 8.2 k readline x86_64 8.2-2.fc37 updates 211 k rpm x86_64 4.18.1-2.fc37 updates 570 k rpm-build-libs x86_64 4.18.1-2.fc37 updates 92 k rpm-libs x86_64 4.18.1-2.fc37 updates 318 k rpmautospec-rpm-macros noarch 0.3.5-1.fc37 updates 9.3 k rust-srpm-macros noarch 25.2-2.fc37 updates 12 k setup noarch 2.14.1-2.fc37 fedora 149 k sqlite-libs x86_64 3.40.0-1.fc37 updates 661 k systemd-libs x86_64 251.14-2.fc37 updates 618 k tzdata noarch 2023c-1.fc37 updates 718 k util-linux-core x86_64 2.38.1-1.fc37 fedora 470 k xxhash-libs x86_64 0.8.2-1.fc37 updates 39 k xz-libs x86_64 5.4.1-1.fc37 updates 109 k zip x86_64 3.0-33.fc37 fedora 259 k zlib x86_64 1.2.12-5.fc37 fedora 94 k zstd x86_64 1.5.5-1.fc37 updates 476 k Installing Groups: Buildsystem building group Transaction Summary ================================================================================ Install 151 Packages Total download size: 52 M Installed size: 180 M Downloading Packages: (1/151): basesystem-11-14.fc37.noarch.rpm 75 kB/s | 7.0 kB 00:00 (2/151): bzip2-libs-1.0.8-12.fc37.x86_64.rpm 363 kB/s | 41 kB 00:00 (3/151): bzip2-1.0.8-12.fc37.x86_64.rpm 399 kB/s | 52 kB 00:00 (4/151): cpio-2.13-13.fc37.x86_64.rpm 5.5 MB/s | 277 kB 00:00 (5/151): cracklib-2.9.7-30.fc37.x86_64.rpm 2.9 MB/s | 92 kB 00:00 (6/151): crypto-policies-20220815-1.gite4ed860. 3.5 MB/s | 86 kB 00:00 (7/151): cyrus-sasl-lib-2.1.28-8.fc37.x86_64.rp 23 MB/s | 792 kB 00:00 (8/151): diffutils-3.8-3.fc37.x86_64.rpm 8.0 MB/s | 378 kB 00:00 (9/151): dwz-0.14-7.fc37.x86_64.rpm 3.3 MB/s | 129 kB 00:00 (10/151): ed-1.18-2.fc37.x86_64.rpm 3.8 MB/s | 78 kB 00:00 (11/151): efi-srpm-macros-5-6.fc37.noarch.rpm 1.2 MB/s | 22 kB 00:00 (12/151): file-5.42-4.fc37.x86_64.rpm 2.6 MB/s | 49 kB 00:00 (13/151): file-libs-5.42-4.fc37.x86_64.rpm 19 MB/s | 676 kB 00:00 (14/151): filesystem-3.18-2.fc37.x86_64.rpm 18 MB/s | 1.1 MB 00:00 (15/151): findutils-4.9.0-2.fc37.x86_64.rpm 6.5 MB/s | 492 kB 00:00 (16/151): fonts-srpm-macros-2.0.5-9.fc37.noarch 489 kB/s | 26 kB 00:00 (17/151): fpc-srpm-macros-1.3-6.fc37.noarch.rpm 300 kB/s | 7.7 kB 00:00 (18/151): gawk-5.1.1-4.fc37.x86_64.rpm 19 MB/s | 1.0 MB 00:00 (19/151): gdbm-libs-1.23-2.fc37.x86_64.rpm 1.0 MB/s | 56 kB 00:00 (20/151): gmp-6.2.1-3.fc37.x86_64.rpm 6.6 MB/s | 315 kB 00:00 (21/151): go-srpm-macros-3.2.0-1.fc37.noarch.rp 1.1 MB/s | 27 kB 00:00 (22/151): grep-3.7-4.fc37.x86_64.rpm 9.8 MB/s | 273 kB 00:00 (23/151): gzip-1.12-2.fc37.x86_64.rpm 5.8 MB/s | 166 kB 00:00 (24/151): info-6.8-4.fc37.x86_64.rpm 8.2 MB/s | 225 kB 00:00 (25/151): kernel-srpm-macros-1.0-15.fc37.noarch 368 kB/s | 9.4 kB 00:00 (26/151): keyutils-libs-1.6.1-5.fc37.x86_64.rpm 1.2 MB/s | 31 kB 00:00 (27/151): libacl-2.3.1-4.fc37.x86_64.rpm 1.1 MB/s | 23 kB 00:00 (28/151): libattr-2.5.1-5.fc37.x86_64.rpm 820 kB/s | 18 kB 00:00 (29/151): libblkid-2.38.1-1.fc37.x86_64.rpm 4.9 MB/s | 107 kB 00:00 (30/151): libbrotli-1.0.9-9.fc37.x86_64.rpm 14 MB/s | 315 kB 00:00 (31/151): libcap-2.48-5.fc37.x86_64.rpm 3.1 MB/s | 67 kB 00:00 (32/151): libcap-ng-0.8.3-3.fc37.x86_64.rpm 1.5 MB/s | 33 kB 00:00 (33/151): libcom_err-1.46.5-3.fc37.x86_64.rpm 1.5 MB/s | 25 kB 00:00 (34/151): libdb-5.3.28-53.fc37.x86_64.rpm 28 MB/s | 760 kB 00:00 (35/151): libevent-2.1.12-7.fc37.x86_64.rpm 7.1 MB/s | 261 kB 00:00 (36/151): libfdisk-2.38.1-1.fc37.x86_64.rpm 5.8 MB/s | 160 kB 00:00 (37/151): libmount-2.38.1-1.fc37.x86_64.rpm 5.5 MB/s | 135 kB 00:00 (38/151): libnsl2-2.0.0-4.fc37.x86_64.rpm 1.4 MB/s | 30 kB 00:00 (39/151): libpkgconf-1.8.0-3.fc37.x86_64.rpm 1.7 MB/s | 36 kB 00:00 (40/151): libpsl-0.21.1-6.fc37.x86_64.rpm 3.0 MB/s | 63 kB 00:00 (41/151): libsigsegv-2.14-3.fc37.x86_64.rpm 1.3 MB/s | 27 kB 00:00 (42/151): libsmartcols-2.38.1-1.fc37.x86_64.rpm 3.2 MB/s | 64 kB 00:00 (43/151): libunistring-1.0-2.fc37.x86_64.rpm 22 MB/s | 549 kB 00:00 (44/151): libutempter-1.2.1-7.fc37.x86_64.rpm 1.1 MB/s | 26 kB 00:00 (45/151): libuuid-2.38.1-1.fc37.x86_64.rpm 1.2 MB/s | 28 kB 00:00 (46/151): libverto-0.3.2-4.fc37.x86_64.rpm 1.1 MB/s | 21 kB 00:00 (47/151): lua-srpm-macros-1-7.fc37.noarch.rpm 537 kB/s | 8.8 kB 00:00 (48/151): mpfr-4.1.0-10.fc37.x86_64.rpm 16 MB/s | 331 kB 00:00 (49/151): nim-srpm-macros-3-7.fc37.noarch.rpm 518 kB/s | 8.4 kB 00:00 (50/151): ocaml-srpm-macros-7-2.fc37.noarch.rpm 874 kB/s | 13 kB 00:00 (51/151): openblas-srpm-macros-2-12.fc37.noarch 541 kB/s | 7.5 kB 00:00 (52/151): pam-1.5.2-14.fc37.x86_64.rpm 28 MB/s | 560 kB 00:00 (53/151): pam-libs-1.5.2-14.fc37.x86_64.rpm 3.1 MB/s | 58 kB 00:00 (54/151): patch-2.7.6-17.fc37.x86_64.rpm 6.6 MB/s | 124 kB 00:00 (55/151): pcre-8.45-1.fc37.2.x86_64.rpm 11 MB/s | 202 kB 00:00 (56/151): pcre2-10.40-1.fc37.1.x86_64.rpm 12 MB/s | 236 kB 00:00 (57/151): pcre2-syntax-10.40-1.fc37.1.noarch.rp 7.4 MB/s | 142 kB 00:00 (58/151): perl-srpm-macros-1-46.fc37.noarch.rpm 507 kB/s | 8.3 kB 00:00 (59/151): pkgconf-1.8.0-3.fc37.x86_64.rpm 2.5 MB/s | 41 kB 00:00 (60/151): pkgconf-m4-1.8.0-3.fc37.noarch.rpm 959 kB/s | 14 kB 00:00 (61/151): pkgconf-pkg-config-1.8.0-3.fc37.x86_6 790 kB/s | 10 kB 00:00 (62/151): popt-1.19-1.fc37.x86_64.rpm 4.0 MB/s | 66 kB 00:00 (63/151): sed-4.8-11.fc37.x86_64.rpm 18 MB/s | 306 kB 00:00 (64/151): setup-2.14.1-2.fc37.noarch.rpm 9.5 MB/s | 149 kB 00:00 (65/151): unzip-6.0-58.fc37.x86_64.rpm 2.7 MB/s | 182 kB 00:00 (66/151): util-linux-2.38.1-1.fc37.x86_64.rpm 22 MB/s | 2.3 MB 00:00 (67/151): util-linux-core-2.38.1-1.fc37.x86_64. 4.2 MB/s | 470 kB 00:00 (68/151): zip-3.0-33.fc37.x86_64.rpm 4.4 MB/s | 259 kB 00:00 (69/151): zlib-1.2.12-5.fc37.x86_64.rpm 4.4 MB/s | 94 kB 00:00 (70/151): ansible-srpm-macros-1-10.fc37.noarch. 153 kB/s | 20 kB 00:00 (71/151): alternatives-1.24-1.fc37.x86_64.rpm 257 kB/s | 39 kB 00:00 (72/151): audit-libs-3.1.2-1.fc37.x86_64.rpm 600 kB/s | 117 kB 00:00 (73/151): authselect-1.4.2-1.fc37.x86_64.rpm 1.8 MB/s | 144 kB 00:00 (74/151): authselect-libs-1.4.2-1.fc37.x86_64.r 2.6 MB/s | 250 kB 00:00 (75/151): binutils-gold-2.38-27.fc37.x86_64.rpm 10 MB/s | 773 kB 00:00 (76/151): bash-5.2.15-1.fc37.x86_64.rpm 14 MB/s | 1.8 MB 00:00 (77/151): ca-certificates-2023.2.60_v7.0.306-1. 17 MB/s | 837 kB 00:00 (78/151): binutils-2.38-27.fc37.x86_64.rpm 27 MB/s | 5.4 MB 00:00 (79/151): coreutils-9.1-8.fc37.x86_64.rpm 12 MB/s | 1.1 MB 00:00 (80/151): coreutils-common-9.1-8.fc37.x86_64.rp 30 MB/s | 2.0 MB 00:00 (81/151): curl-7.85.0-12.fc37.x86_64.rpm 12 MB/s | 314 kB 00:00 (82/151): debugedit-5.0-7.fc37.x86_64.rpm 3.1 MB/s | 77 kB 00:00 (83/151): elfutils-0.189-3.fc37.x86_64.rpm 18 MB/s | 536 kB 00:00 (84/151): elfutils-debuginfod-client-0.189-3.fc 1.5 MB/s | 38 kB 00:00 (85/151): elfutils-default-yama-scope-0.189-3.f 565 kB/s | 13 kB 00:00 (86/151): elfutils-libelf-0.189-3.fc37.x86_64.r 7.3 MB/s | 194 kB 00:00 (87/151): elfutils-libs-0.189-3.fc37.x86_64.rpm 9.5 MB/s | 258 kB 00:00 (88/151): fedora-gpg-keys-37-2.noarch.rpm 4.7 MB/s | 126 kB 00:00 (89/151): fedora-release-37-18.noarch.rpm 363 kB/s | 8.7 kB 00:00 (90/151): fedora-release-common-37-18.noarch.rp 803 kB/s | 19 kB 00:00 (91/151): fedora-release-identity-basic-37-18.n 398 kB/s | 9.4 kB 00:00 (92/151): fedora-repos-37-2.noarch.rpm 393 kB/s | 9.4 kB 00:00 (93/151): ghc-srpm-macros-1.6.1-1.fc37.noarch.r 300 kB/s | 8.0 kB 00:00 (94/151): glibc-2.36-14.fc37.x86_64.rpm 33 MB/s | 2.2 MB 00:00 (95/151): gdb-minimal-13.2-3.fc37.x86_64.rpm 41 MB/s | 4.2 MB 00:00 (96/151): glibc-minimal-langpack-2.36-14.fc37.x 3.2 MB/s | 79 kB 00:00 (97/151): glibc-gconv-extra-2.36-14.fc37.x86_64 31 MB/s | 1.7 MB 00:00 (98/151): glibc-common-2.36-14.fc37.x86_64.rpm 3.2 MB/s | 359 kB 00:00 (99/151): gnat-srpm-macros-5-1.fc37.noarch.rpm 353 kB/s | 8.3 kB 00:00 (100/151): krb5-libs-1.19.2-13.fc37.x86_64.rpm 22 MB/s | 726 kB 00:00 (101/151): libcurl-7.85.0-12.fc37.x86_64.rpm 11 MB/s | 302 kB 00:00 (102/151): libarchive-3.6.1-3.fc37.x86_64.rpm 7.7 MB/s | 397 kB 00:00 (103/151): libeconf-0.5.2-1.fc37.x86_64.rpm 1.2 MB/s | 30 kB 00:00 (104/151): libffi-3.4.4-1.fc37.x86_64.rpm 1.5 MB/s | 37 kB 00:00 (105/151): libgcc-12.3.1-1.fc37.x86_64.rpm 3.6 MB/s | 113 kB 00:00 (106/151): libgomp-12.3.1-1.fc37.x86_64.rpm 11 MB/s | 301 kB 00:00 (107/151): libidn2-2.3.4-1.fc37.x86_64.rpm 6.2 MB/s | 160 kB 00:00 (108/151): libpwquality-1.4.5-3.fc37.x86_64.rpm 4.7 MB/s | 119 kB 00:00 (109/151): libnghttp2-1.51.0-2.fc37.x86_64.rpm 2.6 MB/s | 74 kB 00:00 (110/151): libselinux-3.5-1.fc37.x86_64.rpm 3.4 MB/s | 86 kB 00:00 (111/151): libsemanage-3.5-2.fc37.x86_64.rpm 4.7 MB/s | 120 kB 00:00 (112/151): libssh-0.10.5-1.fc37.x86_64.rpm 8.0 MB/s | 211 kB 00:00 (113/151): libsepol-3.5-1.fc37.x86_64.rpm 7.0 MB/s | 322 kB 00:00 (114/151): libssh-config-0.10.5-1.fc37.noarch.r 361 kB/s | 8.6 kB 00:00 (115/151): libstdc++-12.3.1-1.fc37.x86_64.rpm 25 MB/s | 810 kB 00:00 (116/151): libtirpc-1.3.3-1.rc1.fc37.x86_64.rpm 3.7 MB/s | 93 kB 00:00 (117/151): libtasn1-4.19.0-1.fc37.x86_64.rpm 2.6 MB/s | 75 kB 00:00 (118/151): libxcrypt-4.4.36-1.fc37.x86_64.rpm 4.7 MB/s | 119 kB 00:00 (119/151): libxml2-2.10.4-1.fc37.x86_64.rpm 22 MB/s | 705 kB 00:00 (120/151): lua-libs-5.4.4-9.fc37.x86_64.rpm 5.2 MB/s | 132 kB 00:00 (121/151): libzstd-1.5.5-1.fc37.x86_64.rpm 6.9 MB/s | 304 kB 00:00 (122/151): lz4-libs-1.9.4-1.fc37.x86_64.rpm 2.7 MB/s | 67 kB 00:00 (123/151): ncurses-base-6.4-3.20230114.fc37.noa 3.4 MB/s | 86 kB 00:00 (124/151): openldap-2.6.6-1.fc37.x86_64.rpm 9.4 MB/s | 253 kB 00:00 (125/151): ncurses-libs-6.4-3.20230114.fc37.x86 7.1 MB/s | 329 kB 00:00 (126/151): p11-kit-0.25.0-1.fc37.x86_64.rpm 18 MB/s | 519 kB 00:00 (127/151): openssl-libs-3.0.9-1.fc37.x86_64.rpm 37 MB/s | 2.1 MB 00:00 (128/151): p11-kit-trust-0.25.0-1.fc37.x86_64.r 4.0 MB/s | 144 kB 00:00 (129/151): package-notes-srpm-macros-0.5-7.fc37 455 kB/s | 11 kB 00:00 (130/151): publicsuffix-list-dafsa-20230812-1.f 2.3 MB/s | 57 kB 00:00 (131/151): pyproject-srpm-macros-1.10.0-1.fc37. 555 kB/s | 14 kB 00:00 (132/151): python-srpm-macros-3.11-6.fc37.noarc 1.0 MB/s | 24 kB 00:00 (133/151): qt5-srpm-macros-5.15.10-1.fc37.noarc 342 kB/s | 8.2 kB 00:00 (134/151): redhat-rpm-config-229-1.fc37.noarch. 3.2 MB/s | 80 kB 00:00 (135/151): readline-8.2-2.fc37.x86_64.rpm 5.5 MB/s | 211 kB 00:00 (136/151): rpm-4.18.1-2.fc37.x86_64.rpm 18 MB/s | 570 kB 00:00 (137/151): rpm-build-4.18.1-2.fc37.x86_64.rpm 3.0 MB/s | 75 kB 00:00 (138/151): rpm-build-libs-4.18.1-2.fc37.x86_64. 3.1 MB/s | 92 kB 00:00 (139/151): rpmautospec-rpm-macros-0.3.5-1.fc37. 389 kB/s | 9.3 kB 00:00 (140/151): rpm-libs-4.18.1-2.fc37.x86_64.rpm 11 MB/s | 318 kB 00:00 (141/151): rust-srpm-macros-25.2-2.fc37.noarch. 518 kB/s | 12 kB 00:00 (142/151): sqlite-libs-3.40.0-1.fc37.x86_64.rpm 18 MB/s | 661 kB 00:00 (143/151): shadow-utils-4.12.3-6.fc37.x86_64.rp 26 MB/s | 1.3 MB 00:00 (144/151): tar-1.34-6.fc37.x86_64.rpm 26 MB/s | 885 kB 00:00 (145/151): systemd-libs-251.14-2.fc37.x86_64.rp 9.1 MB/s | 618 kB 00:00 (146/151): tzdata-2023c-1.fc37.noarch.rpm 23 MB/s | 718 kB 00:00 (147/151): which-2.21-39.fc37.x86_64.rpm 1.7 MB/s | 42 kB 00:00 (148/151): xxhash-libs-0.8.2-1.fc37.x86_64.rpm 1.5 MB/s | 39 kB 00:00 (149/151): xz-5.4.1-1.fc37.x86_64.rpm 15 MB/s | 419 kB 00:00 (150/151): xz-libs-5.4.1-1.fc37.x86_64.rpm 4.3 MB/s | 109 kB 00:00 (151/151): zstd-1.5.5-1.fc37.x86_64.rpm 8.0 MB/s | 476 kB 00:00 -------------------------------------------------------------------------------- Total 25 MB/s | 52 MB 00:02 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x5323552A: Userid : "Fedora (37) " Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-2.fc37.x86_64 1/1 Preparing : 1/1 Installing : libgcc-12.3.1-1.fc37.x86_64 1/151 Running scriptlet: libgcc-12.3.1-1.fc37.x86_64 1/151 Installing : crypto-policies-20220815-1.gite4ed860.fc37.noarc 2/151 Running scriptlet: crypto-policies-20220815-1.gite4ed860.fc37.noarc 2/151 Installing : fedora-release-identity-basic-37-18.noarch 3/151 Installing : tzdata-2023c-1.fc37.noarch 4/151 Installing : rust-srpm-macros-25.2-2.fc37.noarch 5/151 Installing : qt5-srpm-macros-5.15.10-1.fc37.noarch 6/151 Installing : publicsuffix-list-dafsa-20230812-1.fc37.noarch 7/151 Installing : package-notes-srpm-macros-0.5-7.fc37.noarch 8/151 Installing : ncurses-base-6.4-3.20230114.fc37.noarch 9/151 Installing : libssh-config-0.10.5-1.fc37.noarch 10/151 Installing : gnat-srpm-macros-5-1.fc37.noarch 11/151 Installing : ghc-srpm-macros-1.6.1-1.fc37.noarch 12/151 Installing : fedora-gpg-keys-37-2.noarch 13/151 Installing : fedora-release-37-18.noarch 14/151 Installing : fedora-repos-37-2.noarch 15/151 Installing : fedora-release-common-37-18.noarch 16/151 Installing : setup-2.14.1-2.fc37.noarch 17/151 Running scriptlet: setup-2.14.1-2.fc37.noarch 17/151 Installing : filesystem-3.18-2.fc37.x86_64 18/151 Installing : basesystem-11-14.fc37.noarch 19/151 Installing : glibc-gconv-extra-2.36-14.fc37.x86_64 20/151 Running scriptlet: glibc-gconv-extra-2.36-14.fc37.x86_64 20/151 Installing : glibc-minimal-langpack-2.36-14.fc37.x86_64 21/151 Installing : glibc-common-2.36-14.fc37.x86_64 22/151 Running scriptlet: glibc-2.36-14.fc37.x86_64 23/151 Installing : glibc-2.36-14.fc37.x86_64 23/151 Running scriptlet: glibc-2.36-14.fc37.x86_64 23/151 Installing : ncurses-libs-6.4-3.20230114.fc37.x86_64 24/151 Installing : bash-5.2.15-1.fc37.x86_64 25/151 Running scriptlet: bash-5.2.15-1.fc37.x86_64 25/151 Installing : zlib-1.2.12-5.fc37.x86_64 26/151 Installing : xz-libs-5.4.1-1.fc37.x86_64 27/151 Installing : bzip2-libs-1.0.8-12.fc37.x86_64 28/151 Installing : libzstd-1.5.5-1.fc37.x86_64 29/151 Installing : elfutils-libelf-0.189-3.fc37.x86_64 30/151 Installing : libuuid-2.38.1-1.fc37.x86_64 31/151 Installing : popt-1.19-1.fc37.x86_64 32/151 Installing : libstdc++-12.3.1-1.fc37.x86_64 33/151 Installing : libblkid-2.38.1-1.fc37.x86_64 34/151 Installing : readline-8.2-2.fc37.x86_64 35/151 Installing : gmp-1:6.2.1-3.fc37.x86_64 36/151 Installing : libattr-2.5.1-5.fc37.x86_64 37/151 Installing : libacl-2.3.1-4.fc37.x86_64 38/151 Installing : libcap-2.48-5.fc37.x86_64 39/151 Installing : libxcrypt-4.4.36-1.fc37.x86_64 40/151 Installing : libeconf-0.5.2-1.fc37.x86_64 41/151 Installing : lz4-libs-1.9.4-1.fc37.x86_64 42/151 Installing : systemd-libs-251.14-2.fc37.x86_64 43/151 Installing : mpfr-4.1.0-10.fc37.x86_64 44/151 Installing : dwz-0.14-7.fc37.x86_64 45/151 Installing : unzip-6.0-58.fc37.x86_64 46/151 Installing : file-libs-5.42-4.fc37.x86_64 47/151 Installing : file-5.42-4.fc37.x86_64 48/151 Installing : sqlite-libs-3.40.0-1.fc37.x86_64 49/151 Installing : libcap-ng-0.8.3-3.fc37.x86_64 50/151 Installing : audit-libs-3.1.2-1.fc37.x86_64 51/151 Installing : pam-libs-1.5.2-14.fc37.x86_64 52/151 Installing : libcom_err-1.46.5-3.fc37.x86_64 53/151 Installing : libsmartcols-2.38.1-1.fc37.x86_64 54/151 Installing : libunistring-1.0-2.fc37.x86_64 55/151 Installing : libidn2-2.3.4-1.fc37.x86_64 56/151 Installing : alternatives-1.24-1.fc37.x86_64 57/151 Installing : libsepol-3.5-1.fc37.x86_64 58/151 Installing : lua-libs-5.4.4-9.fc37.x86_64 59/151 Installing : libpsl-0.21.1-6.fc37.x86_64 60/151 Installing : zip-3.0-33.fc37.x86_64 61/151 Installing : zstd-1.5.5-1.fc37.x86_64 62/151 Installing : libfdisk-2.38.1-1.fc37.x86_64 63/151 Installing : bzip2-1.0.8-12.fc37.x86_64 64/151 Installing : libxml2-2.10.4-1.fc37.x86_64 65/151 Installing : ed-1.18-2.fc37.x86_64 66/151 Installing : elfutils-default-yama-scope-0.189-3.fc37.noarch 67/151 Running scriptlet: elfutils-default-yama-scope-0.189-3.fc37.noarch 67/151 Installing : cpio-2.13-13.fc37.x86_64 68/151 Installing : diffutils-3.8-3.fc37.x86_64 69/151 Installing : gdbm-libs-1:1.23-2.fc37.x86_64 70/151 Installing : cyrus-sasl-lib-2.1.28-8.fc37.x86_64 71/151 Installing : keyutils-libs-1.6.1-5.fc37.x86_64 72/151 Installing : libbrotli-1.0.9-9.fc37.x86_64 73/151 Installing : libdb-5.3.28-53.fc37.x86_64 74/151 Installing : libpkgconf-1.8.0-3.fc37.x86_64 75/151 Installing : pkgconf-1.8.0-3.fc37.x86_64 76/151 Installing : libsigsegv-2.14-3.fc37.x86_64 77/151 Installing : gawk-5.1.1-4.fc37.x86_64 78/151 Installing : libverto-0.3.2-4.fc37.x86_64 79/151 Installing : pcre-8.45-1.fc37.2.x86_64 80/151 Installing : grep-3.7-4.fc37.x86_64 81/151 Installing : xz-5.4.1-1.fc37.x86_64 82/151 Installing : libffi-3.4.4-1.fc37.x86_64 83/151 Installing : p11-kit-0.25.0-1.fc37.x86_64 84/151 Installing : libgomp-12.3.1-1.fc37.x86_64 85/151 Installing : libnghttp2-1.51.0-2.fc37.x86_64 86/151 Installing : libtasn1-4.19.0-1.fc37.x86_64 87/151 Installing : p11-kit-trust-0.25.0-1.fc37.x86_64 88/151 Running scriptlet: p11-kit-trust-0.25.0-1.fc37.x86_64 88/151 Installing : xxhash-libs-0.8.2-1.fc37.x86_64 89/151 Installing : coreutils-common-9.1-8.fc37.x86_64 90/151 Installing : ansible-srpm-macros-1-10.fc37.noarch 91/151 Installing : pkgconf-m4-1.8.0-3.fc37.noarch 92/151 Installing : pkgconf-pkg-config-1.8.0-3.fc37.x86_64 93/151 Installing : perl-srpm-macros-1-46.fc37.noarch 94/151 Installing : pcre2-syntax-10.40-1.fc37.1.noarch 95/151 Installing : pcre2-10.40-1.fc37.1.x86_64 96/151 Installing : libselinux-3.5-1.fc37.x86_64 97/151 Installing : sed-4.8-11.fc37.x86_64 98/151 Installing : findutils-1:4.9.0-2.fc37.x86_64 99/151 Installing : libmount-2.38.1-1.fc37.x86_64 100/151 Installing : util-linux-core-2.38.1-1.fc37.x86_64 101/151 Installing : openssl-libs-1:3.0.9-1.fc37.x86_64 102/151 Installing : coreutils-9.1-8.fc37.x86_64 103/151 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 104/151 Installing : ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 104/151 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 104/151 Installing : krb5-libs-1.19.2-13.fc37.x86_64 105/151 Installing : libtirpc-1.3.3-1.rc1.fc37.x86_64 106/151 Installing : gzip-1.12-2.fc37.x86_64 107/151 Running scriptlet: authselect-libs-1.4.2-1.fc37.x86_64 108/151 Installing : authselect-libs-1.4.2-1.fc37.x86_64 108/151 Installing : authselect-1.4.2-1.fc37.x86_64 109/151 Installing : cracklib-2.9.7-30.fc37.x86_64 110/151 Installing : libpwquality-1.4.5-3.fc37.x86_64 111/151 Installing : libnsl2-2.0.0-4.fc37.x86_64 112/151 Installing : pam-1.5.2-14.fc37.x86_64 113/151 Installing : libssh-0.10.5-1.fc37.x86_64 114/151 Installing : libevent-2.1.12-7.fc37.x86_64 115/151 Installing : openldap-2.6.6-1.fc37.x86_64 116/151 Installing : libcurl-7.85.0-12.fc37.x86_64 117/151 Installing : elfutils-debuginfod-client-0.189-3.fc37.x86_64 118/151 Installing : elfutils-libs-0.189-3.fc37.x86_64 119/151 Installing : binutils-gold-2.38-27.fc37.x86_64 120/151 Installing : binutils-2.38-27.fc37.x86_64 121/151 Running scriptlet: binutils-2.38-27.fc37.x86_64 121/151 Installing : elfutils-0.189-3.fc37.x86_64 122/151 Installing : gdb-minimal-13.2-3.fc37.x86_64 123/151 Installing : debugedit-5.0-7.fc37.x86_64 124/151 Installing : curl-7.85.0-12.fc37.x86_64 125/151 Installing : libarchive-3.6.1-3.fc37.x86_64 126/151 Running scriptlet: rpm-4.18.1-2.fc37.x86_64 127/151 Installing : rpm-4.18.1-2.fc37.x86_64 127/151 Installing : rpm-libs-4.18.1-2.fc37.x86_64 128/151 Installing : rpm-build-libs-4.18.1-2.fc37.x86_64 129/151 Installing : efi-srpm-macros-5-6.fc37.noarch 130/151 Installing : lua-srpm-macros-1-7.fc37.noarch 131/151 Installing : rpmautospec-rpm-macros-0.3.5-1.fc37.noarch 132/151 Installing : patch-2.7.6-17.fc37.x86_64 133/151 Installing : libsemanage-3.5-2.fc37.x86_64 134/151 Installing : shadow-utils-2:4.12.3-6.fc37.x86_64 135/151 Running scriptlet: libutempter-1.2.1-7.fc37.x86_64 136/151 Installing : libutempter-1.2.1-7.fc37.x86_64 136/151 Installing : tar-2:1.34-6.fc37.x86_64 137/151 Installing : openblas-srpm-macros-2-12.fc37.noarch 138/151 Installing : ocaml-srpm-macros-7-2.fc37.noarch 139/151 Installing : nim-srpm-macros-3-7.fc37.noarch 140/151 Installing : kernel-srpm-macros-1.0-15.fc37.noarch 141/151 Installing : fpc-srpm-macros-1.3-6.fc37.noarch 142/151 Installing : fonts-srpm-macros-1:2.0.5-9.fc37.noarch 143/151 Installing : go-srpm-macros-3.2.0-1.fc37.noarch 144/151 Installing : python-srpm-macros-3.11-6.fc37.noarch 145/151 Installing : redhat-rpm-config-229-1.fc37.noarch 146/151 Installing : rpm-build-4.18.1-2.fc37.x86_64 147/151 Installing : pyproject-srpm-macros-1.10.0-1.fc37.noarch 148/151 Installing : util-linux-2.38.1-1.fc37.x86_64 149/151 Installing : which-2.21-39.fc37.x86_64 150/151 Installing : info-6.8-4.fc37.x86_64 151/151 Running scriptlet: filesystem-3.18-2.fc37.x86_64 151/151 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 151/151 Running scriptlet: authselect-libs-1.4.2-1.fc37.x86_64 151/151 Running scriptlet: rpm-4.18.1-2.fc37.x86_64 151/151 Running scriptlet: info-6.8-4.fc37.x86_64 151/151 Verifying : basesystem-11-14.fc37.noarch 1/151 Verifying : bzip2-1.0.8-12.fc37.x86_64 2/151 Verifying : bzip2-libs-1.0.8-12.fc37.x86_64 3/151 Verifying : cpio-2.13-13.fc37.x86_64 4/151 Verifying : cracklib-2.9.7-30.fc37.x86_64 5/151 Verifying : crypto-policies-20220815-1.gite4ed860.fc37.noarc 6/151 Verifying : cyrus-sasl-lib-2.1.28-8.fc37.x86_64 7/151 Verifying : diffutils-3.8-3.fc37.x86_64 8/151 Verifying : dwz-0.14-7.fc37.x86_64 9/151 Verifying : ed-1.18-2.fc37.x86_64 10/151 Verifying : efi-srpm-macros-5-6.fc37.noarch 11/151 Verifying : file-5.42-4.fc37.x86_64 12/151 Verifying : file-libs-5.42-4.fc37.x86_64 13/151 Verifying : filesystem-3.18-2.fc37.x86_64 14/151 Verifying : findutils-1:4.9.0-2.fc37.x86_64 15/151 Verifying : fonts-srpm-macros-1:2.0.5-9.fc37.noarch 16/151 Verifying : fpc-srpm-macros-1.3-6.fc37.noarch 17/151 Verifying : gawk-5.1.1-4.fc37.x86_64 18/151 Verifying : gdbm-libs-1:1.23-2.fc37.x86_64 19/151 Verifying : gmp-1:6.2.1-3.fc37.x86_64 20/151 Verifying : go-srpm-macros-3.2.0-1.fc37.noarch 21/151 Verifying : grep-3.7-4.fc37.x86_64 22/151 Verifying : gzip-1.12-2.fc37.x86_64 23/151 Verifying : info-6.8-4.fc37.x86_64 24/151 Verifying : kernel-srpm-macros-1.0-15.fc37.noarch 25/151 Verifying : keyutils-libs-1.6.1-5.fc37.x86_64 26/151 Verifying : libacl-2.3.1-4.fc37.x86_64 27/151 Verifying : libattr-2.5.1-5.fc37.x86_64 28/151 Verifying : libblkid-2.38.1-1.fc37.x86_64 29/151 Verifying : libbrotli-1.0.9-9.fc37.x86_64 30/151 Verifying : libcap-2.48-5.fc37.x86_64 31/151 Verifying : libcap-ng-0.8.3-3.fc37.x86_64 32/151 Verifying : libcom_err-1.46.5-3.fc37.x86_64 33/151 Verifying : libdb-5.3.28-53.fc37.x86_64 34/151 Verifying : libevent-2.1.12-7.fc37.x86_64 35/151 Verifying : libfdisk-2.38.1-1.fc37.x86_64 36/151 Verifying : libmount-2.38.1-1.fc37.x86_64 37/151 Verifying : libnsl2-2.0.0-4.fc37.x86_64 38/151 Verifying : libpkgconf-1.8.0-3.fc37.x86_64 39/151 Verifying : libpsl-0.21.1-6.fc37.x86_64 40/151 Verifying : libsigsegv-2.14-3.fc37.x86_64 41/151 Verifying : libsmartcols-2.38.1-1.fc37.x86_64 42/151 Verifying : libunistring-1.0-2.fc37.x86_64 43/151 Verifying : libutempter-1.2.1-7.fc37.x86_64 44/151 Verifying : libuuid-2.38.1-1.fc37.x86_64 45/151 Verifying : libverto-0.3.2-4.fc37.x86_64 46/151 Verifying : lua-srpm-macros-1-7.fc37.noarch 47/151 Verifying : mpfr-4.1.0-10.fc37.x86_64 48/151 Verifying : nim-srpm-macros-3-7.fc37.noarch 49/151 Verifying : ocaml-srpm-macros-7-2.fc37.noarch 50/151 Verifying : openblas-srpm-macros-2-12.fc37.noarch 51/151 Verifying : pam-1.5.2-14.fc37.x86_64 52/151 Verifying : pam-libs-1.5.2-14.fc37.x86_64 53/151 Verifying : patch-2.7.6-17.fc37.x86_64 54/151 Verifying : pcre-8.45-1.fc37.2.x86_64 55/151 Verifying : pcre2-10.40-1.fc37.1.x86_64 56/151 Verifying : pcre2-syntax-10.40-1.fc37.1.noarch 57/151 Verifying : perl-srpm-macros-1-46.fc37.noarch 58/151 Verifying : pkgconf-1.8.0-3.fc37.x86_64 59/151 Verifying : pkgconf-m4-1.8.0-3.fc37.noarch 60/151 Verifying : pkgconf-pkg-config-1.8.0-3.fc37.x86_64 61/151 Verifying : popt-1.19-1.fc37.x86_64 62/151 Verifying : sed-4.8-11.fc37.x86_64 63/151 Verifying : setup-2.14.1-2.fc37.noarch 64/151 Verifying : unzip-6.0-58.fc37.x86_64 65/151 Verifying : util-linux-2.38.1-1.fc37.x86_64 66/151 Verifying : util-linux-core-2.38.1-1.fc37.x86_64 67/151 Verifying : zip-3.0-33.fc37.x86_64 68/151 Verifying : zlib-1.2.12-5.fc37.x86_64 69/151 Verifying : alternatives-1.24-1.fc37.x86_64 70/151 Verifying : ansible-srpm-macros-1-10.fc37.noarch 71/151 Verifying : audit-libs-3.1.2-1.fc37.x86_64 72/151 Verifying : authselect-1.4.2-1.fc37.x86_64 73/151 Verifying : authselect-libs-1.4.2-1.fc37.x86_64 74/151 Verifying : bash-5.2.15-1.fc37.x86_64 75/151 Verifying : binutils-2.38-27.fc37.x86_64 76/151 Verifying : binutils-gold-2.38-27.fc37.x86_64 77/151 Verifying : ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noar 78/151 Verifying : coreutils-9.1-8.fc37.x86_64 79/151 Verifying : coreutils-common-9.1-8.fc37.x86_64 80/151 Verifying : curl-7.85.0-12.fc37.x86_64 81/151 Verifying : debugedit-5.0-7.fc37.x86_64 82/151 Verifying : elfutils-0.189-3.fc37.x86_64 83/151 Verifying : elfutils-debuginfod-client-0.189-3.fc37.x86_64 84/151 Verifying : elfutils-default-yama-scope-0.189-3.fc37.noarch 85/151 Verifying : elfutils-libelf-0.189-3.fc37.x86_64 86/151 Verifying : elfutils-libs-0.189-3.fc37.x86_64 87/151 Verifying : fedora-gpg-keys-37-2.noarch 88/151 Verifying : fedora-release-37-18.noarch 89/151 Verifying : fedora-release-common-37-18.noarch 90/151 Verifying : fedora-release-identity-basic-37-18.noarch 91/151 Verifying : fedora-repos-37-2.noarch 92/151 Verifying : gdb-minimal-13.2-3.fc37.x86_64 93/151 Verifying : ghc-srpm-macros-1.6.1-1.fc37.noarch 94/151 Verifying : glibc-2.36-14.fc37.x86_64 95/151 Verifying : glibc-common-2.36-14.fc37.x86_64 96/151 Verifying : glibc-gconv-extra-2.36-14.fc37.x86_64 97/151 Verifying : glibc-minimal-langpack-2.36-14.fc37.x86_64 98/151 Verifying : gnat-srpm-macros-5-1.fc37.noarch 99/151 Verifying : krb5-libs-1.19.2-13.fc37.x86_64 100/151 Verifying : libarchive-3.6.1-3.fc37.x86_64 101/151 Verifying : libcurl-7.85.0-12.fc37.x86_64 102/151 Verifying : libeconf-0.5.2-1.fc37.x86_64 103/151 Verifying : libffi-3.4.4-1.fc37.x86_64 104/151 Verifying : libgcc-12.3.1-1.fc37.x86_64 105/151 Verifying : libgomp-12.3.1-1.fc37.x86_64 106/151 Verifying : libidn2-2.3.4-1.fc37.x86_64 107/151 Verifying : libnghttp2-1.51.0-2.fc37.x86_64 108/151 Verifying : libpwquality-1.4.5-3.fc37.x86_64 109/151 Verifying : libselinux-3.5-1.fc37.x86_64 110/151 Verifying : libsemanage-3.5-2.fc37.x86_64 111/151 Verifying : libsepol-3.5-1.fc37.x86_64 112/151 Verifying : libssh-0.10.5-1.fc37.x86_64 113/151 Verifying : libssh-config-0.10.5-1.fc37.noarch 114/151 Verifying : libstdc++-12.3.1-1.fc37.x86_64 115/151 Verifying : libtasn1-4.19.0-1.fc37.x86_64 116/151 Verifying : libtirpc-1.3.3-1.rc1.fc37.x86_64 117/151 Verifying : libxcrypt-4.4.36-1.fc37.x86_64 118/151 Verifying : libxml2-2.10.4-1.fc37.x86_64 119/151 Verifying : libzstd-1.5.5-1.fc37.x86_64 120/151 Verifying : lua-libs-5.4.4-9.fc37.x86_64 121/151 Verifying : lz4-libs-1.9.4-1.fc37.x86_64 122/151 Verifying : ncurses-base-6.4-3.20230114.fc37.noarch 123/151 Verifying : ncurses-libs-6.4-3.20230114.fc37.x86_64 124/151 Verifying : openldap-2.6.6-1.fc37.x86_64 125/151 Verifying : openssl-libs-1:3.0.9-1.fc37.x86_64 126/151 Verifying : p11-kit-0.25.0-1.fc37.x86_64 127/151 Verifying : p11-kit-trust-0.25.0-1.fc37.x86_64 128/151 Verifying : package-notes-srpm-macros-0.5-7.fc37.noarch 129/151 Verifying : publicsuffix-list-dafsa-20230812-1.fc37.noarch 130/151 Verifying : pyproject-srpm-macros-1.10.0-1.fc37.noarch 131/151 Verifying : python-srpm-macros-3.11-6.fc37.noarch 132/151 Verifying : qt5-srpm-macros-5.15.10-1.fc37.noarch 133/151 Verifying : readline-8.2-2.fc37.x86_64 134/151 Verifying : redhat-rpm-config-229-1.fc37.noarch 135/151 Verifying : rpm-4.18.1-2.fc37.x86_64 136/151 Verifying : rpm-build-4.18.1-2.fc37.x86_64 137/151 Verifying : rpm-build-libs-4.18.1-2.fc37.x86_64 138/151 Verifying : rpm-libs-4.18.1-2.fc37.x86_64 139/151 Verifying : rpmautospec-rpm-macros-0.3.5-1.fc37.noarch 140/151 Verifying : rust-srpm-macros-25.2-2.fc37.noarch 141/151 Verifying : shadow-utils-2:4.12.3-6.fc37.x86_64 142/151 Verifying : sqlite-libs-3.40.0-1.fc37.x86_64 143/151 Verifying : systemd-libs-251.14-2.fc37.x86_64 144/151 Verifying : tar-2:1.34-6.fc37.x86_64 145/151 Verifying : tzdata-2023c-1.fc37.noarch 146/151 Verifying : which-2.21-39.fc37.x86_64 147/151 Verifying : xxhash-libs-0.8.2-1.fc37.x86_64 148/151 Verifying : xz-5.4.1-1.fc37.x86_64 149/151 Verifying : xz-libs-5.4.1-1.fc37.x86_64 150/151 Verifying : zstd-1.5.5-1.fc37.x86_64 151/151 Installed: alternatives-1.24-1.fc37.x86_64 ansible-srpm-macros-1-10.fc37.noarch audit-libs-3.1.2-1.fc37.x86_64 authselect-1.4.2-1.fc37.x86_64 authselect-libs-1.4.2-1.fc37.x86_64 basesystem-11-14.fc37.noarch bash-5.2.15-1.fc37.x86_64 binutils-2.38-27.fc37.x86_64 binutils-gold-2.38-27.fc37.x86_64 bzip2-1.0.8-12.fc37.x86_64 bzip2-libs-1.0.8-12.fc37.x86_64 ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noarch coreutils-9.1-8.fc37.x86_64 coreutils-common-9.1-8.fc37.x86_64 cpio-2.13-13.fc37.x86_64 cracklib-2.9.7-30.fc37.x86_64 crypto-policies-20220815-1.gite4ed860.fc37.noarch curl-7.85.0-12.fc37.x86_64 cyrus-sasl-lib-2.1.28-8.fc37.x86_64 debugedit-5.0-7.fc37.x86_64 diffutils-3.8-3.fc37.x86_64 dwz-0.14-7.fc37.x86_64 ed-1.18-2.fc37.x86_64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.189-3.fc37.x86_64 elfutils-debuginfod-client-0.189-3.fc37.x86_64 elfutils-default-yama-scope-0.189-3.fc37.noarch elfutils-libelf-0.189-3.fc37.x86_64 elfutils-libs-0.189-3.fc37.x86_64 fedora-gpg-keys-37-2.noarch fedora-release-37-18.noarch fedora-release-common-37-18.noarch fedora-release-identity-basic-37-18.noarch fedora-repos-37-2.noarch file-5.42-4.fc37.x86_64 file-libs-5.42-4.fc37.x86_64 filesystem-3.18-2.fc37.x86_64 findutils-1:4.9.0-2.fc37.x86_64 fonts-srpm-macros-1:2.0.5-9.fc37.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.x86_64 gdb-minimal-13.2-3.fc37.x86_64 gdbm-libs-1:1.23-2.fc37.x86_64 ghc-srpm-macros-1.6.1-1.fc37.noarch glibc-2.36-14.fc37.x86_64 glibc-common-2.36-14.fc37.x86_64 glibc-gconv-extra-2.36-14.fc37.x86_64 glibc-minimal-langpack-2.36-14.fc37.x86_64 gmp-1:6.2.1-3.fc37.x86_64 gnat-srpm-macros-5-1.fc37.noarch go-srpm-macros-3.2.0-1.fc37.noarch grep-3.7-4.fc37.x86_64 gzip-1.12-2.fc37.x86_64 info-6.8-4.fc37.x86_64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.x86_64 krb5-libs-1.19.2-13.fc37.x86_64 libacl-2.3.1-4.fc37.x86_64 libarchive-3.6.1-3.fc37.x86_64 libattr-2.5.1-5.fc37.x86_64 libblkid-2.38.1-1.fc37.x86_64 libbrotli-1.0.9-9.fc37.x86_64 libcap-2.48-5.fc37.x86_64 libcap-ng-0.8.3-3.fc37.x86_64 libcom_err-1.46.5-3.fc37.x86_64 libcurl-7.85.0-12.fc37.x86_64 libdb-5.3.28-53.fc37.x86_64 libeconf-0.5.2-1.fc37.x86_64 libevent-2.1.12-7.fc37.x86_64 libfdisk-2.38.1-1.fc37.x86_64 libffi-3.4.4-1.fc37.x86_64 libgcc-12.3.1-1.fc37.x86_64 libgomp-12.3.1-1.fc37.x86_64 libidn2-2.3.4-1.fc37.x86_64 libmount-2.38.1-1.fc37.x86_64 libnghttp2-1.51.0-2.fc37.x86_64 libnsl2-2.0.0-4.fc37.x86_64 libpkgconf-1.8.0-3.fc37.x86_64 libpsl-0.21.1-6.fc37.x86_64 libpwquality-1.4.5-3.fc37.x86_64 libselinux-3.5-1.fc37.x86_64 libsemanage-3.5-2.fc37.x86_64 libsepol-3.5-1.fc37.x86_64 libsigsegv-2.14-3.fc37.x86_64 libsmartcols-2.38.1-1.fc37.x86_64 libssh-0.10.5-1.fc37.x86_64 libssh-config-0.10.5-1.fc37.noarch libstdc++-12.3.1-1.fc37.x86_64 libtasn1-4.19.0-1.fc37.x86_64 libtirpc-1.3.3-1.rc1.fc37.x86_64 libunistring-1.0-2.fc37.x86_64 libutempter-1.2.1-7.fc37.x86_64 libuuid-2.38.1-1.fc37.x86_64 libverto-0.3.2-4.fc37.x86_64 libxcrypt-4.4.36-1.fc37.x86_64 libxml2-2.10.4-1.fc37.x86_64 libzstd-1.5.5-1.fc37.x86_64 lua-libs-5.4.4-9.fc37.x86_64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.4-1.fc37.x86_64 mpfr-4.1.0-10.fc37.x86_64 ncurses-base-6.4-3.20230114.fc37.noarch ncurses-libs-6.4-3.20230114.fc37.x86_64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.6-1.fc37.x86_64 openssl-libs-1:3.0.9-1.fc37.x86_64 p11-kit-0.25.0-1.fc37.x86_64 p11-kit-trust-0.25.0-1.fc37.x86_64 package-notes-srpm-macros-0.5-7.fc37.noarch pam-1.5.2-14.fc37.x86_64 pam-libs-1.5.2-14.fc37.x86_64 patch-2.7.6-17.fc37.x86_64 pcre-8.45-1.fc37.2.x86_64 pcre2-10.40-1.fc37.1.x86_64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-46.fc37.noarch pkgconf-1.8.0-3.fc37.x86_64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.x86_64 popt-1.19-1.fc37.x86_64 publicsuffix-list-dafsa-20230812-1.fc37.noarch pyproject-srpm-macros-1.10.0-1.fc37.noarch python-srpm-macros-3.11-6.fc37.noarch qt5-srpm-macros-5.15.10-1.fc37.noarch readline-8.2-2.fc37.x86_64 redhat-rpm-config-229-1.fc37.noarch rpm-4.18.1-2.fc37.x86_64 rpm-build-4.18.1-2.fc37.x86_64 rpm-build-libs-4.18.1-2.fc37.x86_64 rpm-libs-4.18.1-2.fc37.x86_64 rpmautospec-rpm-macros-0.3.5-1.fc37.noarch rust-srpm-macros-25.2-2.fc37.noarch sed-4.8-11.fc37.x86_64 setup-2.14.1-2.fc37.noarch shadow-utils-2:4.12.3-6.fc37.x86_64 sqlite-libs-3.40.0-1.fc37.x86_64 systemd-libs-251.14-2.fc37.x86_64 tar-2:1.34-6.fc37.x86_64 tzdata-2023c-1.fc37.noarch unzip-6.0-58.fc37.x86_64 util-linux-2.38.1-1.fc37.x86_64 util-linux-core-2.38.1-1.fc37.x86_64 which-2.21-39.fc37.x86_64 xxhash-libs-0.8.2-1.fc37.x86_64 xz-5.4.1-1.fc37.x86_64 xz-libs-5.4.1-1.fc37.x86_64 zip-3.0-33.fc37.x86_64 zlib-1.2.12-5.fc37.x86_64 zstd-1.5.5-1.fc37.x86_64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.24-1.fc37.x86_64 ansible-srpm-macros-1-10.fc37.noarch audit-libs-3.1.2-1.fc37.x86_64 authselect-1.4.2-1.fc37.x86_64 authselect-libs-1.4.2-1.fc37.x86_64 basesystem-11-14.fc37.noarch bash-5.2.15-1.fc37.x86_64 binutils-2.38-27.fc37.x86_64 binutils-gold-2.38-27.fc37.x86_64 bzip2-1.0.8-12.fc37.x86_64 bzip2-libs-1.0.8-12.fc37.x86_64 ca-certificates-2023.2.60_v7.0.306-1.0.fc37.noarch coreutils-9.1-8.fc37.x86_64 coreutils-common-9.1-8.fc37.x86_64 cpio-2.13-13.fc37.x86_64 cracklib-2.9.7-30.fc37.x86_64 crypto-policies-20220815-1.gite4ed860.fc37.noarch curl-7.85.0-12.fc37.x86_64 cyrus-sasl-lib-2.1.28-8.fc37.x86_64 debugedit-5.0-7.fc37.x86_64 diffutils-3.8-3.fc37.x86_64 dwz-0.14-7.fc37.x86_64 ed-1.18-2.fc37.x86_64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.189-3.fc37.x86_64 elfutils-debuginfod-client-0.189-3.fc37.x86_64 elfutils-default-yama-scope-0.189-3.fc37.noarch elfutils-libelf-0.189-3.fc37.x86_64 elfutils-libs-0.189-3.fc37.x86_64 fedora-gpg-keys-37-2.noarch fedora-release-37-18.noarch fedora-release-common-37-18.noarch fedora-release-identity-basic-37-18.noarch fedora-repos-37-2.noarch file-5.42-4.fc37.x86_64 file-libs-5.42-4.fc37.x86_64 filesystem-3.18-2.fc37.x86_64 findutils-4.9.0-2.fc37.x86_64 fonts-srpm-macros-2.0.5-9.fc37.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.x86_64 gdb-minimal-13.2-3.fc37.x86_64 gdbm-libs-1.23-2.fc37.x86_64 ghc-srpm-macros-1.6.1-1.fc37.noarch glibc-2.36-14.fc37.x86_64 glibc-common-2.36-14.fc37.x86_64 glibc-gconv-extra-2.36-14.fc37.x86_64 glibc-minimal-langpack-2.36-14.fc37.x86_64 gmp-6.2.1-3.fc37.x86_64 gnat-srpm-macros-5-1.fc37.noarch go-srpm-macros-3.2.0-1.fc37.noarch gpg-pubkey-5323552a-6112bcdc grep-3.7-4.fc37.x86_64 gzip-1.12-2.fc37.x86_64 info-6.8-4.fc37.x86_64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.x86_64 krb5-libs-1.19.2-13.fc37.x86_64 libacl-2.3.1-4.fc37.x86_64 libarchive-3.6.1-3.fc37.x86_64 libattr-2.5.1-5.fc37.x86_64 libblkid-2.38.1-1.fc37.x86_64 libbrotli-1.0.9-9.fc37.x86_64 libcap-2.48-5.fc37.x86_64 libcap-ng-0.8.3-3.fc37.x86_64 libcom_err-1.46.5-3.fc37.x86_64 libcurl-7.85.0-12.fc37.x86_64 libdb-5.3.28-53.fc37.x86_64 libeconf-0.5.2-1.fc37.x86_64 libevent-2.1.12-7.fc37.x86_64 libfdisk-2.38.1-1.fc37.x86_64 libffi-3.4.4-1.fc37.x86_64 libgcc-12.3.1-1.fc37.x86_64 libgomp-12.3.1-1.fc37.x86_64 libidn2-2.3.4-1.fc37.x86_64 libmount-2.38.1-1.fc37.x86_64 libnghttp2-1.51.0-2.fc37.x86_64 libnsl2-2.0.0-4.fc37.x86_64 libpkgconf-1.8.0-3.fc37.x86_64 libpsl-0.21.1-6.fc37.x86_64 libpwquality-1.4.5-3.fc37.x86_64 libselinux-3.5-1.fc37.x86_64 libsemanage-3.5-2.fc37.x86_64 libsepol-3.5-1.fc37.x86_64 libsigsegv-2.14-3.fc37.x86_64 libsmartcols-2.38.1-1.fc37.x86_64 libssh-0.10.5-1.fc37.x86_64 libssh-config-0.10.5-1.fc37.noarch libstdc++-12.3.1-1.fc37.x86_64 libtasn1-4.19.0-1.fc37.x86_64 libtirpc-1.3.3-1.rc1.fc37.x86_64 libunistring-1.0-2.fc37.x86_64 libutempter-1.2.1-7.fc37.x86_64 libuuid-2.38.1-1.fc37.x86_64 libverto-0.3.2-4.fc37.x86_64 libxcrypt-4.4.36-1.fc37.x86_64 libxml2-2.10.4-1.fc37.x86_64 libzstd-1.5.5-1.fc37.x86_64 lua-libs-5.4.4-9.fc37.x86_64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.4-1.fc37.x86_64 mpfr-4.1.0-10.fc37.x86_64 ncurses-base-6.4-3.20230114.fc37.noarch ncurses-libs-6.4-3.20230114.fc37.x86_64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.6-1.fc37.x86_64 openssl-libs-3.0.9-1.fc37.x86_64 p11-kit-0.25.0-1.fc37.x86_64 p11-kit-trust-0.25.0-1.fc37.x86_64 package-notes-srpm-macros-0.5-7.fc37.noarch pam-1.5.2-14.fc37.x86_64 pam-libs-1.5.2-14.fc37.x86_64 patch-2.7.6-17.fc37.x86_64 pcre-8.45-1.fc37.2.x86_64 pcre2-10.40-1.fc37.1.x86_64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-46.fc37.noarch pkgconf-1.8.0-3.fc37.x86_64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.x86_64 popt-1.19-1.fc37.x86_64 publicsuffix-list-dafsa-20230812-1.fc37.noarch pyproject-srpm-macros-1.10.0-1.fc37.noarch python-srpm-macros-3.11-6.fc37.noarch qt5-srpm-macros-5.15.10-1.fc37.noarch readline-8.2-2.fc37.x86_64 redhat-rpm-config-229-1.fc37.noarch rpm-4.18.1-2.fc37.x86_64 rpm-build-4.18.1-2.fc37.x86_64 rpm-build-libs-4.18.1-2.fc37.x86_64 rpm-libs-4.18.1-2.fc37.x86_64 rpmautospec-rpm-macros-0.3.5-1.fc37.noarch rust-srpm-macros-25.2-2.fc37.noarch sed-4.8-11.fc37.x86_64 setup-2.14.1-2.fc37.noarch shadow-utils-4.12.3-6.fc37.x86_64 sqlite-libs-3.40.0-1.fc37.x86_64 systemd-libs-251.14-2.fc37.x86_64 tar-1.34-6.fc37.x86_64 tzdata-2023c-1.fc37.noarch unzip-6.0-58.fc37.x86_64 util-linux-2.38.1-1.fc37.x86_64 util-linux-core-2.38.1-1.fc37.x86_64 which-2.21-39.fc37.x86_64 xxhash-libs-0.8.2-1.fc37.x86_64 xz-5.4.1-1.fc37.x86_64 xz-libs-5.4.1-1.fc37.x86_64 zip-3.0-33.fc37.x86_64 zlib-1.2.12-5.fc37.x86_64 zstd-1.5.5-1.fc37.x86_64 Start: buildsrpm Start: rpmbuild -bs warning: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm RPM build warnings: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-37-x86_64-1700011767.954117/root/var/log/dnf.rpm.log /var/lib/mock/fedora-37-x86_64-1700011767.954117/root/var/log/dnf.librepo.log /var/lib/mock/fedora-37-x86_64-1700011767.954117/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-qnkkhvk8/yosys/yosys.spec) Config(child) 6 minutes 39 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm) Config(fedora-37-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-x86_64-bootstrap-1700011767.954117/root. INFO: reusing tmpfs at /var/lib/mock/fedora-37-x86_64-bootstrap-1700011767.954117/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-37-x86_64-1700011767.954117/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Finish: chroot init INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.18.1-2.fc37.x86_64 python3-dnf-4.18.0-2.fc37.noarch python3-dnf-plugins-core-4.4.3-1.fc37.noarch yum-4.18.0-2.fc37.noarch Start: build phase for yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm Start: build setup for yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm warning: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm RPM build warnings: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 32 kB/s | 2.1 kB 00:00 Additional repo copr_rezso_ML 6.0 kB/s | 1.8 kB 00:00 Additional repo copr_rezso_CUDA 34 kB/s | 1.8 kB 00:00 Additional repo http_developer_download_nvidia_ 96 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 136 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 148 kB/s | 3.5 kB 00:00 fedora 556 kB/s | 27 kB 00:00 updates 524 kB/s | 25 kB 00:00 Package pkgconf-pkg-config-1.8.0-3.fc37.x86_64 is already installed. Dependencies resolved. ================================================================================================= Package Arch Version Repo Size ================================================================================================= Installing: abc x86_64 1.02-20231114.0.git6ca7eab4.fc37 copr_base 19 k bison x86_64 3.8.2-3.fc37 fedora 1.0 M flex x86_64 2.6.4-11.fc37 fedora 313 k gcc-c++ x86_64 12.3.1-1.fc37 updates 13 M git x86_64 2.41.0-1.fc37 updates 54 k graphviz x86_64 5.0.0-4.fc37 fedora 5.0 M iverilog x86_64 13.0-20231106.0.gitb1006b38.fc37 copr_base 2.2 M libffi-devel x86_64 3.4.4-1.fc37 updates 28 k python3 x86_64 3.11.6-1.fc37 updates 28 k readline-devel x86_64 8.2-2.fc37 updates 207 k tcl-devel x86_64 1:8.6.12-3.fc37 fedora 169 k txt2man noarch 1.7.1-3.fc37 fedora 28 k Installing dependencies: abc-libs x86_64 1.02-20231114.0.git6ca7eab4.fc37 copr_base 4.7 M adobe-mappings-cmap noarch 20230622-1.fc37 updates 2.1 M adobe-mappings-cmap-deprecated noarch 20230622-1.fc37 updates 114 k adobe-mappings-pdf noarch 20190401-2.fc37 fedora 697 k annobin-docs noarch 12.28-1.fc37 updates 91 k annobin-plugin-gcc x86_64 12.28-1.fc37 updates 957 k avahi-libs x86_64 0.8-18.fc37 updates 67 k cairo x86_64 1.17.6-2.fc37 fedora 676 k cairo-gobject x86_64 1.17.6-2.fc37 fedora 18 k cpp x86_64 12.3.1-1.fc37 updates 11 M crypto-policies-scripts noarch 20220815-1.gite4ed860.fc37 fedora 110 k cups-libs x86_64 1:2.4.7-1.fc37 updates 268 k dbus-libs x86_64 1:1.14.10-1.fc37 updates 156 k expat x86_64 2.5.0-1.fc37 updates 110 k fontconfig x86_64 2.14.1-2.fc37 updates 294 k fonts-filesystem noarch 1:2.0.5-9.fc37 fedora 7.9 k freetype x86_64 2.12.1-3.fc37 fedora 407 k fribidi x86_64 1.0.12-2.fc37 fedora 89 k gc x86_64 8.0.6-4.fc37 fedora 103 k gcc x86_64 12.3.1-1.fc37 updates 33 M gcc-plugin-annobin x86_64 12.3.1-1.fc37 updates 59 k gd x86_64 2.3.3-9.fc37 updates 138 k gdk-pixbuf2 x86_64 2.42.10-1.fc37 fedora 485 k git-core x86_64 2.41.0-1.fc37 updates 4.4 M git-core-doc noarch 2.41.0-1.fc37 updates 2.8 M glib2 x86_64 2.74.7-2.fc37 updates 2.7 M glibc-devel x86_64 2.36-14.fc37 updates 92 k glibc-headers-x86 noarch 2.36-14.fc37 updates 573 k gnutls x86_64 3.8.1-1.fc37 updates 1.1 M google-droid-sans-fonts noarch 20200215-13.fc37 fedora 2.7 M google-noto-fonts-common noarch 20201206^1.git0c78c8329-7.fc37 updates 18 k google-noto-sans-vf-fonts noarch 20201206^1.git0c78c8329-7.fc37 updates 729 k graphite2 x86_64 1.3.14-10.fc37 fedora 95 k groff-base x86_64 1.22.4-10.fc37 fedora 1.1 M gts x86_64 0.7.6-42.20121130.fc37 fedora 241 k guile22 x86_64 2.2.7-6.fc37 fedora 6.5 M harfbuzz x86_64 5.2.0-1.fc37 fedora 801 k highway x86_64 1.0.7-1.fc37 updates 499 k jbig2dec-libs x86_64 0.19-7.fc37 fedora 73 k jbigkit-libs x86_64 2.1-24.fc37 fedora 53 k kernel-headers x86_64 6.5.4-100.fc37 updates 1.5 M langpacks-core-font-en noarch 3.0-26.fc37 fedora 10 k lasi x86_64 1.1.3-9.fc37 fedora 54 k lcms2 x86_64 2.14-1.fc37 updates 176 k less x86_64 633-1.fc37 updates 175 k libX11 x86_64 1.8.4-1.fc37 updates 647 k libX11-common noarch 1.8.4-1.fc37 updates 177 k libXau x86_64 1.0.10-1.fc37 fedora 31 k libXext x86_64 1.3.4-9.fc37 fedora 39 k libXft x86_64 2.3.4-3.fc37 fedora 62 k libXpm x86_64 3.5.15-2.fc37 updates 62 k libXrender x86_64 0.9.10-17.fc37 fedora 27 k libaom x86_64 3.7.0-1.fc37 updates 1.8 M libavif x86_64 0.11.1-4.fc37 updates 83 k libb2 x86_64 0.98.1-7.fc37 fedora 25 k libcbor x86_64 0.7.0-7.fc37 fedora 56 k libdatrie x86_64 0.2.13-4.fc37 fedora 32 k libdav1d x86_64 1.2.1-1.fc37 updates 619 k libedit x86_64 3.1-43.20221009cvs.fc37 updates 106 k libfido2 x86_64 1.11.0-3.fc37 fedora 97 k libfontenc x86_64 1.1.4-4.fc37 fedora 31 k libgs x86_64 9.56.1-9.fc37 updates 3.6 M libijs x86_64 0.35-16.fc37 fedora 29 k libimagequant x86_64 2.17.0-3.fc37 fedora 62 k libjpeg-turbo x86_64 2.1.3-2.fc37 fedora 181 k libjxl x86_64 1:0.7.0-5.fc37 updates 1.1 M libmpc x86_64 1.2.1-5.fc37 fedora 61 k libpaper x86_64 1.1.28-5.fc37 fedora 46 k libpng x86_64 2:1.6.37-13.fc37 fedora 119 k librsvg2 x86_64 2.54.6-1.fc37 updates 3.6 M libstdc++-devel x86_64 12.3.1-1.fc37 updates 2.5 M libthai x86_64 0.1.29-3.fc37 fedora 213 k libtiff x86_64 4.4.0-4.fc37 fedora 197 k libtool-ltdl x86_64 2.4.7-2.fc37 fedora 37 k libvmaf x86_64 2.3.0-4.fc37 fedora 180 k libwebp x86_64 1.3.2-2.fc37 updates 284 k libxcb x86_64 1.13.1-10.fc37 fedora 231 k libxcrypt-devel x86_64 4.4.36-1.fc37 updates 29 k m4 x86_64 1.4.19-4.fc37 fedora 303 k make x86_64 1:4.3-11.fc37 fedora 542 k mkfontscale x86_64 1.2.2-2.fc37 fedora 32 k mpdecimal x86_64 2.5.1-4.fc37 fedora 102 k ncurses x86_64 6.4-3.20230114.fc37 updates 411 k ncurses-c++-libs x86_64 6.4-3.20230114.fc37 updates 37 k ncurses-devel x86_64 6.4-3.20230114.fc37 updates 549 k netpbm x86_64 11.02.00-1.fc37 updates 185 k nettle x86_64 3.8-2.fc37 fedora 414 k nspr x86_64 4.35.0-11.fc37 updates 136 k nss x86_64 3.94.0-2.fc37 updates 699 k nss-softokn x86_64 3.94.0-2.fc37 updates 395 k nss-softokn-freebl x86_64 3.94.0-2.fc37 updates 349 k nss-sysinit x86_64 3.94.0-2.fc37 updates 18 k nss-util x86_64 3.94.0-2.fc37 updates 87 k openjpeg2 x86_64 2.5.0-5.fc37 updates 177 k openssh x86_64 8.8p1-11.fc37 updates 450 k openssh-clients x86_64 8.8p1-11.fc37 updates 696 k pango x86_64 1.50.14-1.fc37 updates 343 k perl-Carp noarch 1.52-489.fc37 fedora 29 k perl-Class-Struct noarch 0.66-494.fc37 updates 23 k perl-DynaLoader x86_64 1.52-494.fc37 updates 27 k perl-Encode x86_64 4:3.19-492.fc37 fedora 1.7 M perl-Errno x86_64 1.36-494.fc37 updates 16 k perl-Error noarch 1:0.17029-10.fc37 fedora 41 k perl-Exporter noarch 5.77-489.fc37 fedora 31 k perl-Fcntl x86_64 1.15-494.fc37 updates 21 k perl-File-Basename noarch 2.85-494.fc37 updates 18 k perl-File-Find noarch 1.40-494.fc37 updates 26 k perl-File-Path noarch 2.18-489.fc37 fedora 35 k perl-File-Temp noarch 1:0.231.100-489.fc37 fedora 59 k perl-File-stat noarch 1.12-494.fc37 updates 18 k perl-Getopt-Long noarch 1:2.54-1.fc37 updates 60 k perl-Getopt-Std noarch 1.13-494.fc37 updates 17 k perl-Git noarch 2.41.0-1.fc37 updates 42 k perl-HTTP-Tiny noarch 0.086-1.fc37 updates 55 k perl-IO x86_64 1.50-494.fc37 updates 93 k perl-IPC-Open3 noarch 1.22-494.fc37 updates 24 k perl-MIME-Base64 x86_64 3.16-489.fc37 fedora 30 k perl-POSIX x86_64 2.03-494.fc37 updates 98 k perl-PathTools x86_64 3.84-489.fc37 fedora 89 k perl-Pod-Escapes noarch 1:1.07-489.fc37 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-490.fc37 fedora 90 k perl-Pod-Simple noarch 1:3.43-490.fc37 fedora 225 k perl-Pod-Usage noarch 4:2.03-3.fc37 fedora 40 k perl-Scalar-List-Utils x86_64 5:1.63-489.fc37 fedora 72 k perl-SelectSaver noarch 1.02-494.fc37 updates 13 k perl-Socket x86_64 4:2.036-1.fc37 fedora 55 k perl-Storable x86_64 1:3.26-489.fc37 fedora 97 k perl-Symbol noarch 1.09-494.fc37 updates 15 k perl-Term-ANSIColor noarch 5.01-490.fc37 fedora 48 k perl-Term-Cap noarch 1.17-489.fc37 fedora 22 k perl-TermReadKey x86_64 2.38-14.fc37 fedora 36 k perl-Text-ParseWords noarch 3.31-489.fc37 fedora 16 k perl-Text-Tabs+Wrap noarch 2023.0511-1.fc37 updates 23 k perl-Time-Local noarch 2:1.300-489.fc37 fedora 33 k perl-constant noarch 1.33-490.fc37 fedora 23 k perl-if noarch 0.61.000-494.fc37 updates 15 k perl-interpreter x86_64 4:5.36.1-494.fc37 updates 73 k perl-lib x86_64 0.65-494.fc37 updates 16 k perl-libs x86_64 4:5.36.1-494.fc37 updates 2.2 M perl-locale noarch 1.10-494.fc37 updates 15 k perl-mro x86_64 1.26-494.fc37 updates 30 k perl-overload noarch 1.35-494.fc37 updates 47 k perl-overloading noarch 0.02-494.fc37 updates 14 k perl-parent noarch 1:0.238-489.fc37 fedora 14 k perl-podlators noarch 1:4.14-489.fc37 fedora 116 k perl-subs noarch 1.04-494.fc37 updates 13 k perl-vars noarch 1.05-494.fc37 updates 14 k pixman x86_64 0.40.0-6.fc37 fedora 277 k poppler x86_64 22.08.0-4.fc37 updates 1.2 M poppler-data noarch 0.4.11-3.fc37 fedora 2.0 M poppler-glib x86_64 22.08.0-4.fc37 updates 174 k python-pip-wheel noarch 22.2.2-3.fc37 updates 1.4 M python-setuptools-wheel noarch 62.6.0-3.fc37 updates 711 k python3-libs x86_64 3.11.6-1.fc37 updates 9.6 M rav1e-libs x86_64 0.6.6-3.fc37 updates 1.0 M shared-mime-info x86_64 2.2-2.fc37 fedora 381 k svt-av1-libs x86_64 1.1.0-2.fc37 fedora 2.0 M tcl x86_64 1:8.6.12-3.fc37 fedora 1.1 M urw-base35-bookman-fonts noarch 20200910-15.fc37 fedora 848 k urw-base35-c059-fonts noarch 20200910-15.fc37 fedora 875 k urw-base35-d050000l-fonts noarch 20200910-15.fc37 fedora 76 k urw-base35-fonts noarch 20200910-15.fc37 fedora 11 k urw-base35-fonts-common noarch 20200910-15.fc37 fedora 21 k urw-base35-gothic-fonts noarch 20200910-15.fc37 fedora 643 k urw-base35-nimbus-mono-ps-fonts noarch 20200910-15.fc37 fedora 796 k urw-base35-nimbus-roman-fonts noarch 20200910-15.fc37 fedora 857 k urw-base35-nimbus-sans-fonts noarch 20200910-15.fc37 fedora 1.3 M urw-base35-p052-fonts noarch 20200910-15.fc37 fedora 974 k urw-base35-standard-symbols-ps-fonts noarch 20200910-15.fc37 fedora 42 k urw-base35-z003-fonts noarch 20200910-15.fc37 fedora 276 k xml-common noarch 0.6.3-59.fc37 fedora 31 k xorg-x11-fonts-ISO8859-1-100dpi noarch 7.5-34.fc37 fedora 1.1 M zlib-devel x86_64 1.2.12-5.fc37 fedora 44 k Transaction Summary ================================================================================================= Install 185 Packages Total download size: 159 M Installed size: 560 M Downloading Packages: (1/185): abc-1.02-20231114.0.git6ca7eab4.fc37.x 152 kB/s | 19 kB 00:00 (2/185): iverilog-13.0-20231106.0.gitb1006b38.f 6.5 MB/s | 2.2 MB 00:00 (3/185): abc-libs-1.02-20231114.0.git6ca7eab4.f 4.9 MB/s | 4.7 MB 00:00 (4/185): adobe-mappings-pdf-20190401-2.fc37.noa 129 kB/s | 697 kB 00:05 (5/185): cairo-gobject-1.17.6-2.fc37.x86_64.rpm 219 kB/s | 18 kB 00:00 (6/185): cairo-1.17.6-2.fc37.x86_64.rpm 136 kB/s | 676 kB 00:04 (7/185): crypto-policies-scripts-20220815-1.git 334 kB/s | 110 kB 00:00 (8/185): fonts-filesystem-2.0.5-9.fc37.noarch.r 96 kB/s | 7.9 kB 00:00 (9/185): flex-2.6.4-11.fc37.x86_64.rpm 305 kB/s | 313 kB 00:01 (10/185): fribidi-1.0.12-2.fc37.x86_64.rpm 256 kB/s | 89 kB 00:00 (11/185): gc-8.0.6-4.fc37.x86_64.rpm 315 kB/s | 103 kB 00:00 (12/185): bison-3.8.2-3.fc37.x86_64.rpm 131 kB/s | 1.0 MB 00:07 (13/185): freetype-2.12.1-3.fc37.x86_64.rpm 139 kB/s | 407 kB 00:02 (14/185): gdk-pixbuf2-2.42.10-1.fc37.x86_64.rpm 300 kB/s | 485 kB 00:01 (15/185): graphite2-1.3.14-10.fc37.x86_64.rpm 142 kB/s | 95 kB 00:00 (16/185): groff-base-1.22.4-10.fc37.x86_64.rpm 308 kB/s | 1.1 MB 00:03 (17/185): gts-0.7.6-42.20121130.fc37.x86_64.rpm 360 kB/s | 241 kB 00:00 (18/185): google-droid-sans-fonts-20200215-13.f 363 kB/s | 2.7 MB 00:07 (19/185): harfbuzz-5.2.0-1.fc37.x86_64.rpm 639 kB/s | 801 kB 00:01 (20/185): jbig2dec-libs-0.19-7.fc37.x86_64.rpm 444 kB/s | 73 kB 00:00 (21/185): jbigkit-libs-2.1-24.fc37.x86_64.rpm 636 kB/s | 53 kB 00:00 (22/185): langpacks-core-font-en-3.0-26.fc37.no 119 kB/s | 10 kB 00:00 (23/185): lasi-1.1.3-9.fc37.x86_64.rpm 645 kB/s | 54 kB 00:00 (24/185): libXau-1.0.10-1.fc37.x86_64.rpm 376 kB/s | 31 kB 00:00 (25/185): libXext-1.3.4-9.fc37.x86_64.rpm 466 kB/s | 39 kB 00:00 (26/185): libXft-2.3.4-3.fc37.x86_64.rpm 750 kB/s | 62 kB 00:00 (27/185): libXrender-0.9.10-17.fc37.x86_64.rpm 327 kB/s | 27 kB 00:00 (28/185): libb2-0.98.1-7.fc37.x86_64.rpm 297 kB/s | 25 kB 00:00 (29/185): libcbor-0.7.0-7.fc37.x86_64.rpm 660 kB/s | 56 kB 00:00 (30/185): libdatrie-0.2.13-4.fc37.x86_64.rpm 365 kB/s | 32 kB 00:00 (31/185): libfido2-1.11.0-3.fc37.x86_64.rpm 582 kB/s | 97 kB 00:00 (32/185): libfontenc-1.1.4-4.fc37.x86_64.rpm 373 kB/s | 31 kB 00:00 (33/185): libijs-0.35-16.fc37.x86_64.rpm 353 kB/s | 29 kB 00:00 (34/185): libimagequant-2.17.0-3.fc37.x86_64.rp 747 kB/s | 62 kB 00:00 (35/185): libjpeg-turbo-2.1.3-2.fc37.x86_64.rpm 731 kB/s | 181 kB 00:00 (36/185): libmpc-1.2.1-5.fc37.x86_64.rpm 731 kB/s | 61 kB 00:00 (37/185): libpaper-1.1.28-5.fc37.x86_64.rpm 550 kB/s | 46 kB 00:00 (38/185): libpng-1.6.37-13.fc37.x86_64.rpm 714 kB/s | 119 kB 00:00 (39/185): graphviz-5.0.0-4.fc37.x86_64.rpm 510 kB/s | 5.0 MB 00:09 (40/185): libthai-0.1.29-3.fc37.x86_64.rpm 632 kB/s | 213 kB 00:00 (41/185): libtool-ltdl-2.4.7-2.fc37.x86_64.rpm 434 kB/s | 37 kB 00:00 (42/185): libtiff-4.4.0-4.fc37.x86_64.rpm 786 kB/s | 197 kB 00:00 (43/185): libvmaf-2.3.0-4.fc37.x86_64.rpm 701 kB/s | 180 kB 00:00 (44/185): libxcb-1.13.1-10.fc37.x86_64.rpm 920 kB/s | 231 kB 00:00 (45/185): m4-1.4.19-4.fc37.x86_64.rpm 481 kB/s | 303 kB 00:00 (46/185): mkfontscale-1.2.2-2.fc37.x86_64.rpm 371 kB/s | 32 kB 00:00 (47/185): make-4.3-11.fc37.x86_64.rpm 915 kB/s | 542 kB 00:00 (48/185): mpdecimal-2.5.1-4.fc37.x86_64.rpm 589 kB/s | 102 kB 00:00 (49/185): perl-Carp-1.52-489.fc37.noarch.rpm 347 kB/s | 29 kB 00:00 (50/185): nettle-3.8-2.fc37.x86_64.rpm 981 kB/s | 414 kB 00:00 (51/185): perl-Error-0.17029-10.fc37.noarch.rpm 493 kB/s | 41 kB 00:00 (52/185): perl-Exporter-5.77-489.fc37.noarch.rp 378 kB/s | 31 kB 00:00 (53/185): perl-File-Path-2.18-489.fc37.noarch.r 426 kB/s | 35 kB 00:00 (54/185): perl-File-Temp-0.231.100-489.fc37.noa 715 kB/s | 59 kB 00:00 (55/185): perl-MIME-Base64-3.16-489.fc37.x86_64 364 kB/s | 30 kB 00:00 (56/185): perl-PathTools-3.84-489.fc37.x86_64.r 1.0 MB/s | 89 kB 00:00 (57/185): perl-Pod-Escapes-1.07-489.fc37.noarch 238 kB/s | 20 kB 00:00 (58/185): perl-Pod-Perldoc-3.28.01-490.fc37.noa 1.0 MB/s | 90 kB 00:00 (59/185): perl-Pod-Simple-3.43-490.fc37.noarch. 1.3 MB/s | 225 kB 00:00 (60/185): perl-Pod-Usage-2.03-3.fc37.noarch.rpm 485 kB/s | 40 kB 00:00 (61/185): perl-Scalar-List-Utils-1.63-489.fc37. 859 kB/s | 72 kB 00:00 (62/185): perl-Socket-2.036-1.fc37.x86_64.rpm 660 kB/s | 55 kB 00:00 (63/185): perl-Storable-3.26-489.fc37.x86_64.rp 1.1 MB/s | 97 kB 00:00 (64/185): perl-Term-ANSIColor-5.01-490.fc37.noa 579 kB/s | 48 kB 00:00 (65/185): perl-Term-Cap-1.17-489.fc37.noarch.rp 263 kB/s | 22 kB 00:00 (66/185): perl-TermReadKey-2.38-14.fc37.x86_64. 427 kB/s | 36 kB 00:00 (67/185): perl-Text-ParseWords-3.31-489.fc37.no 198 kB/s | 16 kB 00:00 (68/185): perl-Time-Local-1.300-489.fc37.noarch 399 kB/s | 33 kB 00:00 (69/185): guile22-2.2.7-6.fc37.x86_64.rpm 785 kB/s | 6.5 MB 00:08 (70/185): perl-constant-1.33-490.fc37.noarch.rp 271 kB/s | 23 kB 00:00 (71/185): perl-parent-0.238-489.fc37.noarch.rpm 165 kB/s | 14 kB 00:00 (72/185): perl-podlators-4.14-489.fc37.noarch.r 1.3 MB/s | 116 kB 00:00 (73/185): perl-Encode-3.19-492.fc37.x86_64.rpm 796 kB/s | 1.7 MB 00:02 (74/185): pixman-0.40.0-6.fc37.x86_64.rpm 832 kB/s | 277 kB 00:00 (75/185): shared-mime-info-2.2-2.fc37.x86_64.rp 748 kB/s | 381 kB 00:00 (76/185): poppler-data-0.4.11-3.fc37.noarch.rpm 1.6 MB/s | 2.0 MB 00:01 (77/185): tcl-devel-8.6.12-3.fc37.x86_64.rpm 2.0 MB/s | 169 kB 00:00 (78/185): txt2man-1.7.1-3.fc37.noarch.rpm 306 kB/s | 28 kB 00:00 (79/185): urw-base35-bookman-fonts-20200910-15. 1.6 MB/s | 848 kB 00:00 (80/185): tcl-8.6.12-3.fc37.x86_64.rpm 908 kB/s | 1.1 MB 00:01 (81/185): urw-base35-d050000l-fonts-20200910-15 906 kB/s | 76 kB 00:00 (82/185): svt-av1-libs-1.1.0-2.fc37.x86_64.rpm 1.0 MB/s | 2.0 MB 00:01 (83/185): urw-base35-fonts-20200910-15.fc37.noa 129 kB/s | 11 kB 00:00 (84/185): urw-base35-fonts-common-20200910-15.f 259 kB/s | 21 kB 00:00 (85/185): urw-base35-c059-fonts-20200910-15.fc3 1.4 MB/s | 875 kB 00:00 (86/185): urw-base35-gothic-fonts-20200910-15.f 735 kB/s | 643 kB 00:00 (87/185): urw-base35-nimbus-roman-fonts-2020091 1.2 MB/s | 857 kB 00:00 (88/185): urw-base35-nimbus-mono-ps-fonts-20200 714 kB/s | 796 kB 00:01 (89/185): urw-base35-standard-symbols-ps-fonts- 508 kB/s | 42 kB 00:00 (90/185): urw-base35-z003-fonts-20200910-15.fc3 836 kB/s | 276 kB 00:00 (91/185): xml-common-0.6.3-59.fc37.noarch.rpm 374 kB/s | 31 kB 00:00 (92/185): urw-base35-p052-fonts-20200910-15.fc3 1.4 MB/s | 974 kB 00:00 (93/185): zlib-devel-1.2.12-5.fc37.x86_64.rpm 539 kB/s | 44 kB 00:00 (94/185): urw-base35-nimbus-sans-fonts-20200910 901 kB/s | 1.3 MB 00:01 (95/185): xorg-x11-fonts-ISO8859-1-100dpi-7.5-3 1.0 MB/s | 1.1 MB 00:01 (96/185): adobe-mappings-cmap-deprecated-202306 192 kB/s | 114 kB 00:00 (97/185): annobin-docs-12.28-1.fc37.noarch.rpm 156 kB/s | 91 kB 00:00 (98/185): avahi-libs-0.8-18.fc37.x86_64.rpm 423 kB/s | 67 kB 00:00 (99/185): annobin-plugin-gcc-12.28-1.fc37.x86_6 853 kB/s | 957 kB 00:01 (100/185): cups-libs-2.4.7-1.fc37.x86_64.rpm 834 kB/s | 268 kB 00:00 (101/185): dbus-libs-1.14.10-1.fc37.x86_64.rpm 434 kB/s | 156 kB 00:00 (102/185): expat-2.5.0-1.fc37.x86_64.rpm 627 kB/s | 110 kB 00:00 (103/185): adobe-mappings-cmap-20230622-1.fc37. 684 kB/s | 2.1 MB 00:03 (104/185): fontconfig-2.14.1-2.fc37.x86_64.rpm 713 kB/s | 294 kB 00:00 (105/185): cpp-12.3.1-1.fc37.x86_64.rpm 620 kB/s | 11 MB 00:17 (106/185): gcc-plugin-annobin-12.3.1-1.fc37.x86 653 kB/s | 59 kB 00:00 (107/185): gd-2.3.3-9.fc37.x86_64.rpm 518 kB/s | 138 kB 00:00 (108/185): git-2.41.0-1.fc37.x86_64.rpm 615 kB/s | 54 kB 00:00 (109/185): gcc-c++-12.3.1-1.fc37.x86_64.rpm 609 kB/s | 13 MB 00:21 (110/185): git-core-2.41.0-1.fc37.x86_64.rpm 679 kB/s | 4.4 MB 00:06 (111/185): glib2-2.74.7-2.fc37.x86_64.rpm 756 kB/s | 2.7 MB 00:03 (112/185): glibc-devel-2.36-14.fc37.x86_64.rpm 537 kB/s | 92 kB 00:00 (113/185): git-core-doc-2.41.0-1.fc37.noarch.rp 534 kB/s | 2.8 MB 00:05 (114/185): glibc-headers-x86-2.36-14.fc37.noarc 792 kB/s | 573 kB 00:00 (115/185): google-noto-fonts-common-20201206^1. 209 kB/s | 18 kB 00:00 (116/185): gnutls-3.8.1-1.fc37.x86_64.rpm 794 kB/s | 1.1 MB 00:01 (117/185): google-noto-sans-vf-fonts-20201206^1 690 kB/s | 729 kB 00:01 (118/185): highway-1.0.7-1.fc37.x86_64.rpm 555 kB/s | 499 kB 00:00 (119/185): lcms2-2.14-1.fc37.x86_64.rpm 683 kB/s | 176 kB 00:00 (120/185): less-633-1.fc37.x86_64.rpm 726 kB/s | 175 kB 00:00 (121/185): libX11-1.8.4-1.fc37.x86_64.rpm 718 kB/s | 647 kB 00:00 (122/185): kernel-headers-6.5.4-100.fc37.x86_64 706 kB/s | 1.5 MB 00:02 (123/185): libXpm-3.5.15-2.fc37.x86_64.rpm 700 kB/s | 62 kB 00:00 (124/185): libX11-common-1.8.4-1.fc37.noarch.rp 724 kB/s | 177 kB 00:00 (125/185): libavif-0.11.1-4.fc37.x86_64.rpm 489 kB/s | 83 kB 00:00 (126/185): libdav1d-1.2.1-1.fc37.x86_64.rpm 761 kB/s | 619 kB 00:00 (127/185): libedit-3.1-43.20221009cvs.fc37.x86_ 605 kB/s | 106 kB 00:00 (128/185): libffi-devel-3.4.4-1.fc37.x86_64.rpm 313 kB/s | 28 kB 00:00 (129/185): libaom-3.7.0-1.fc37.x86_64.rpm 782 kB/s | 1.8 MB 00:02 (130/185): libjxl-0.7.0-5.fc37.x86_64.rpm 757 kB/s | 1.1 MB 00:01 (131/185): libgs-9.56.1-9.fc37.x86_64.rpm 788 kB/s | 3.6 MB 00:04 (132/185): librsvg2-2.54.6-1.fc37.x86_64.rpm 793 kB/s | 3.6 MB 00:04 (133/185): libwebp-1.3.2-2.fc37.x86_64.rpm 674 kB/s | 284 kB 00:00 (134/185): libxcrypt-devel-4.4.36-1.fc37.x86_64 267 kB/s | 29 kB 00:00 (135/185): libstdc++-devel-12.3.1-1.fc37.x86_64 742 kB/s | 2.5 MB 00:03 (136/185): ncurses-c++-libs-6.4-3.20230114.fc37 382 kB/s | 37 kB 00:00 (137/185): ncurses-6.4-3.20230114.fc37.x86_64.r 703 kB/s | 411 kB 00:00 (138/185): netpbm-11.02.00-1.fc37.x86_64.rpm 738 kB/s | 185 kB 00:00 (139/185): nspr-4.35.0-11.fc37.x86_64.rpm 545 kB/s | 136 kB 00:00 (140/185): ncurses-devel-6.4-3.20230114.fc37.x8 746 kB/s | 549 kB 00:00 (141/185): nss-softokn-3.94.0-2.fc37.x86_64.rpm 702 kB/s | 395 kB 00:00 (142/185): nss-3.94.0-2.fc37.x86_64.rpm 799 kB/s | 699 kB 00:00 (143/185): nss-sysinit-3.94.0-2.fc37.x86_64.rpm 222 kB/s | 18 kB 00:00 (144/185): nss-util-3.94.0-2.fc37.x86_64.rpm 516 kB/s | 87 kB 00:00 (145/185): nss-softokn-freebl-3.94.0-2.fc37.x86 721 kB/s | 349 kB 00:00 (146/185): openjpeg2-2.5.0-5.fc37.x86_64.rpm 719 kB/s | 177 kB 00:00 (147/185): openssh-8.8p1-11.fc37.x86_64.rpm 683 kB/s | 450 kB 00:00 (148/185): openssh-clients-8.8p1-11.fc37.x86_64 782 kB/s | 696 kB 00:00 (149/185): pango-1.50.14-1.fc37.x86_64.rpm 702 kB/s | 343 kB 00:00 (150/185): perl-Class-Struct-0.66-494.fc37.noar 286 kB/s | 23 kB 00:00 (151/185): perl-DynaLoader-1.52-494.fc37.x86_64 333 kB/s | 27 kB 00:00 (152/185): perl-Errno-1.36-494.fc37.x86_64.rpm 197 kB/s | 16 kB 00:00 (153/185): perl-Fcntl-1.15-494.fc37.x86_64.rpm 262 kB/s | 21 kB 00:00 (154/185): perl-File-Basename-2.85-494.fc37.noa 228 kB/s | 18 kB 00:00 (155/185): perl-File-Find-1.40-494.fc37.noarch. 327 kB/s | 26 kB 00:00 (156/185): perl-File-stat-1.12-494.fc37.noarch. 224 kB/s | 18 kB 00:00 (157/185): perl-Getopt-Long-2.54-1.fc37.noarch. 743 kB/s | 60 kB 00:00 (158/185): perl-Getopt-Std-1.13-494.fc37.noarch 207 kB/s | 17 kB 00:00 (159/185): perl-Git-2.41.0-1.fc37.noarch.rpm 523 kB/s | 42 kB 00:00 (160/185): perl-HTTP-Tiny-0.086-1.fc37.noarch.r 645 kB/s | 55 kB 00:00 (161/185): perl-IPC-Open3-1.22-494.fc37.noarch. 292 kB/s | 24 kB 00:00 (162/185): perl-IO-1.50-494.fc37.x86_64.rpm 360 kB/s | 93 kB 00:00 (163/185): perl-POSIX-2.03-494.fc37.x86_64.rpm 620 kB/s | 98 kB 00:00 (164/185): perl-SelectSaver-1.02-494.fc37.noarc 157 kB/s | 13 kB 00:00 (165/185): perl-Symbol-1.09-494.fc37.noarch.rpm 190 kB/s | 15 kB 00:00 (166/185): perl-Text-Tabs+Wrap-2023.0511-1.fc37 282 kB/s | 23 kB 00:00 (167/185): perl-if-0.61.000-494.fc37.noarch.rpm 184 kB/s | 15 kB 00:00 (168/185): perl-lib-0.65-494.fc37.x86_64.rpm 181 kB/s | 16 kB 00:00 (169/185): perl-interpreter-5.36.1-494.fc37.x86 386 kB/s | 73 kB 00:00 (170/185): perl-locale-1.10-494.fc37.noarch.rpm 146 kB/s | 15 kB 00:00 (171/185): perl-mro-1.26-494.fc37.x86_64.rpm 336 kB/s | 30 kB 00:00 (172/185): perl-overload-1.35-494.fc37.noarch.r 539 kB/s | 47 kB 00:00 (173/185): perl-overloading-0.02-494.fc37.noarc 166 kB/s | 14 kB 00:00 (174/185): perl-subs-1.04-494.fc37.noarch.rpm 156 kB/s | 13 kB 00:00 (175/185): perl-vars-1.05-494.fc37.noarch.rpm 173 kB/s | 14 kB 00:00 (176/185): poppler-22.08.0-4.fc37.x86_64.rpm 752 kB/s | 1.2 MB 00:01 (177/185): poppler-glib-22.08.0-4.fc37.x86_64.r 654 kB/s | 174 kB 00:00 (178/185): perl-libs-5.36.1-494.fc37.x86_64.rpm 765 kB/s | 2.2 MB 00:02 (179/185): python-setuptools-wheel-62.6.0-3.fc3 727 kB/s | 711 kB 00:00 (180/185): python3-3.11.6-1.fc37.x86_64.rpm 346 kB/s | 28 kB 00:00 (181/185): python-pip-wheel-22.2.2-3.fc37.noarc 772 kB/s | 1.4 MB 00:01 (182/185): gcc-12.3.1-1.fc37.x86_64.rpm 691 kB/s | 33 MB 00:49 (183/185): readline-devel-8.2-2.fc37.x86_64.rpm 632 kB/s | 207 kB 00:00 (184/185): rav1e-libs-0.6.6-3.fc37.x86_64.rpm 762 kB/s | 1.0 MB 00:01 (185/185): python3-libs-3.11.6-1.fc37.x86_64.rp 782 kB/s | 9.6 MB 00:12 -------------------------------------------------------------------------------- Total 1.8 MB/s | 159 MB 01:30 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : libpng-2:1.6.37-13.fc37.x86_64 1/185 Installing : nspr-4.35.0-11.fc37.x86_64 2/185 Installing : libjpeg-turbo-2.1.3-2.fc37.x86_64 3/185 Installing : nss-util-3.94.0-2.fc37.x86_64 4/185 Installing : fonts-filesystem-1:2.0.5-9.fc37.noarch 5/185 Installing : urw-base35-fonts-common-20200910-15.fc37.noarch 6/185 Installing : libwebp-1.3.2-2.fc37.x86_64 7/185 Installing : expat-2.5.0-1.fc37.x86_64 8/185 Installing : libmpc-1.2.1-5.fc37.x86_64 9/185 Installing : openjpeg2-2.5.0-5.fc37.x86_64 10/185 Installing : lcms2-2.14-1.fc37.x86_64 11/185 Installing : adobe-mappings-cmap-20230622-1.fc37.noarch 12/185 Installing : m4-1.4.19-4.fc37.x86_64 13/185 Installing : libtool-ltdl-2.4.7-2.fc37.x86_64 14/185 Installing : adobe-mappings-cmap-deprecated-20230622-1.fc37.n 15/185 Installing : cpp-12.3.1-1.fc37.x86_64 16/185 Installing : urw-base35-bookman-fonts-20200910-15.fc37.noarch 17/185 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noarch 17/185 Installing : urw-base35-c059-fonts-20200910-15.fc37.noarch 18/185 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 18/185 Installing : urw-base35-d050000l-fonts-20200910-15.fc37.noarc 19/185 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noarc 19/185 Installing : urw-base35-gothic-fonts-20200910-15.fc37.noarch 20/185 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarch 20/185 Installing : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 21/185 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 21/185 Installing : urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 22/185 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 22/185 Installing : urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 23/185 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 23/185 Installing : urw-base35-p052-fonts-20200910-15.fc37.noarch 24/185 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 24/185 Installing : urw-base35-standard-symbols-ps-fonts-20200910-15 25/185 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-15 25/185 Installing : urw-base35-z003-fonts-20200910-15.fc37.noarch 26/185 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 26/185 Installing : urw-base35-fonts-20200910-15.fc37.noarch 27/185 Installing : nss-softokn-freebl-3.94.0-2.fc37.x86_64 28/185 Installing : nss-softokn-3.94.0-2.fc37.x86_64 29/185 Installing : rav1e-libs-0.6.6-3.fc37.x86_64 30/185 Installing : python-setuptools-wheel-62.6.0-3.fc37.noarch 31/185 Installing : python-pip-wheel-22.2.2-3.fc37.noarch 32/185 Running scriptlet: openssh-8.8p1-11.fc37.x86_64 33/185 Installing : openssh-8.8p1-11.fc37.x86_64 33/185 Installing : netpbm-11.02.00-1.fc37.x86_64 34/185 Installing : ncurses-c++-libs-6.4-3.20230114.fc37.x86_64 35/185 Installing : ncurses-devel-6.4-3.20230114.fc37.x86_64 36/185 Installing : ncurses-6.4-3.20230114.fc37.x86_64 37/185 Installing : libstdc++-devel-12.3.1-1.fc37.x86_64 38/185 Installing : libedit-3.1-43.20221009cvs.fc37.x86_64 39/185 Installing : libdav1d-1.2.1-1.fc37.x86_64 40/185 Installing : libX11-common-1.8.4-1.fc37.noarch 41/185 Installing : less-633-1.fc37.x86_64 42/185 Installing : kernel-headers-6.5.4-100.fc37.x86_64 43/185 Installing : highway-1.0.7-1.fc37.x86_64 44/185 Installing : google-noto-fonts-common-20201206^1.git0c78c8329 45/185 Installing : google-noto-sans-vf-fonts-20201206^1.git0c78c832 46/185 Installing : google-droid-sans-fonts-20200215-13.fc37.noarch 47/185 Installing : langpacks-core-font-en-3.0-26.fc37.noarch 48/185 Installing : glibc-headers-x86-2.36-14.fc37.noarch 49/185 Installing : libxcrypt-devel-4.4.36-1.fc37.x86_64 50/185 Installing : glibc-devel-2.36-14.fc37.x86_64 51/185 Installing : dbus-libs-1:1.14.10-1.fc37.x86_64 52/185 Installing : avahi-libs-0.8-18.fc37.x86_64 53/185 Installing : annobin-docs-12.28-1.fc37.noarch 54/185 Installing : zlib-devel-1.2.12-5.fc37.x86_64 55/185 Running scriptlet: xml-common-0.6.3-59.fc37.noarch 56/185 Installing : xml-common-0.6.3-59.fc37.noarch 56/185 Installing : tcl-1:8.6.12-3.fc37.x86_64 57/185 Installing : svt-av1-libs-1.1.0-2.fc37.x86_64 58/185 Installing : poppler-data-0.4.11-3.fc37.noarch 59/185 Installing : pixman-0.40.0-6.fc37.x86_64 60/185 Installing : nettle-3.8-2.fc37.x86_64 61/185 Installing : gnutls-3.8.1-1.fc37.x86_64 62/185 Installing : glib2-2.74.7-2.fc37.x86_64 63/185 Installing : shared-mime-info-2.2-2.fc37.x86_64 64/185 Running scriptlet: shared-mime-info-2.2-2.fc37.x86_64 64/185 Installing : gdk-pixbuf2-2.42.10-1.fc37.x86_64 65/185 Installing : libjxl-1:0.7.0-5.fc37.x86_64 66/185 Installing : gts-0.7.6-42.20121130.fc37.x86_64 67/185 Installing : cups-libs-1:2.4.7-1.fc37.x86_64 68/185 Installing : mpdecimal-2.5.1-4.fc37.x86_64 69/185 Installing : libvmaf-2.3.0-4.fc37.x86_64 70/185 Installing : libaom-3.7.0-1.fc37.x86_64 71/185 Installing : libavif-0.11.1-4.fc37.x86_64 72/185 Installing : libpaper-1.1.28-5.fc37.x86_64 73/185 Installing : libimagequant-2.17.0-3.fc37.x86_64 74/185 Installing : libijs-0.35-16.fc37.x86_64 75/185 Installing : libfontenc-1.1.4-4.fc37.x86_64 76/185 Installing : libdatrie-0.2.13-4.fc37.x86_64 77/185 Installing : libthai-0.1.29-3.fc37.x86_64 78/185 Installing : libcbor-0.7.0-7.fc37.x86_64 79/185 Installing : libfido2-1.11.0-3.fc37.x86_64 80/185 Installing : openssh-clients-8.8p1-11.fc37.x86_64 81/185 Running scriptlet: openssh-clients-8.8p1-11.fc37.x86_64 81/185 Installing : git-core-2.41.0-1.fc37.x86_64 82/185 Installing : git-core-doc-2.41.0-1.fc37.noarch 83/185 Installing : libb2-0.98.1-7.fc37.x86_64 84/185 Installing : python3-3.11.6-1.fc37.x86_64 85/185 Installing : python3-libs-3.11.6-1.fc37.x86_64 86/185 Installing : crypto-policies-scripts-20220815-1.gite4ed860.fc 87/185 Installing : nss-sysinit-3.94.0-2.fc37.x86_64 88/185 Installing : nss-3.94.0-2.fc37.x86_64 89/185 Running scriptlet: nss-3.94.0-2.fc37.x86_64 89/185 Installing : libXau-1.0.10-1.fc37.x86_64 90/185 Installing : libxcb-1.13.1-10.fc37.x86_64 91/185 Installing : libX11-1.8.4-1.fc37.x86_64 92/185 Installing : libXrender-0.9.10-17.fc37.x86_64 93/185 Installing : libXext-1.3.4-9.fc37.x86_64 94/185 Installing : libXpm-3.5.15-2.fc37.x86_64 95/185 Installing : jbigkit-libs-2.1-24.fc37.x86_64 96/185 Installing : libtiff-4.4.0-4.fc37.x86_64 97/185 Installing : jbig2dec-libs-0.19-7.fc37.x86_64 98/185 Running scriptlet: groff-base-1.22.4-10.fc37.x86_64 99/185 Installing : groff-base-1.22.4-10.fc37.x86_64 99/185 Running scriptlet: groff-base-1.22.4-10.fc37.x86_64 99/185 Installing : perl-Time-Local-2:1.300-489.fc37.noarch 100/185 Installing : perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch 101/185 Installing : perl-if-0.61.000-494.fc37.noarch 102/185 Installing : perl-locale-1.10-494.fc37.noarch 103/185 Installing : perl-File-Path-2.18-489.fc37.noarch 104/185 Installing : perl-Pod-Escapes-1:1.07-489.fc37.noarch 105/185 Installing : perl-Term-ANSIColor-5.01-490.fc37.noarch 106/185 Installing : perl-Class-Struct-0.66-494.fc37.noarch 107/185 Installing : perl-POSIX-2.03-494.fc37.x86_64 108/185 Installing : perl-HTTP-Tiny-0.086-1.fc37.noarch 109/185 Installing : perl-IPC-Open3-1.22-494.fc37.noarch 110/185 Installing : perl-subs-1.04-494.fc37.noarch 111/185 Installing : perl-File-Temp-1:0.231.100-489.fc37.noarch 112/185 Installing : perl-Term-Cap-1.17-489.fc37.noarch 113/185 Installing : perl-Pod-Simple-1:3.43-490.fc37.noarch 114/185 Installing : perl-Socket-4:2.036-1.fc37.x86_64 115/185 Installing : perl-SelectSaver-1.02-494.fc37.noarch 116/185 Installing : perl-Symbol-1.09-494.fc37.noarch 117/185 Installing : perl-File-stat-1.12-494.fc37.noarch 118/185 Installing : perl-podlators-1:4.14-489.fc37.noarch 119/185 Installing : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 120/185 Installing : perl-Text-ParseWords-3.31-489.fc37.noarch 121/185 Installing : perl-Fcntl-1.15-494.fc37.x86_64 122/185 Installing : perl-mro-1.26-494.fc37.x86_64 123/185 Installing : perl-IO-1.50-494.fc37.x86_64 124/185 Installing : perl-overloading-0.02-494.fc37.noarch 125/185 Installing : perl-Pod-Usage-4:2.03-3.fc37.noarch 126/185 Installing : perl-MIME-Base64-3.16-489.fc37.x86_64 127/185 Installing : perl-Scalar-List-Utils-5:1.63-489.fc37.x86_64 128/185 Installing : perl-constant-1.33-490.fc37.noarch 129/185 Installing : perl-parent-1:0.238-489.fc37.noarch 130/185 Installing : perl-Errno-1.36-494.fc37.x86_64 131/185 Installing : perl-File-Basename-2.85-494.fc37.noarch 132/185 Installing : perl-Getopt-Std-1.13-494.fc37.noarch 133/185 Installing : perl-Storable-1:3.26-489.fc37.x86_64 134/185 Installing : perl-overload-1.35-494.fc37.noarch 135/185 Installing : perl-vars-1.05-494.fc37.noarch 136/185 Installing : perl-Getopt-Long-1:2.54-1.fc37.noarch 137/185 Installing : perl-Carp-1.52-489.fc37.noarch 138/185 Installing : perl-Exporter-5.77-489.fc37.noarch 139/185 Installing : perl-PathTools-3.84-489.fc37.x86_64 140/185 Installing : perl-DynaLoader-1.52-494.fc37.x86_64 141/185 Installing : perl-Encode-4:3.19-492.fc37.x86_64 142/185 Installing : perl-libs-4:5.36.1-494.fc37.x86_64 143/185 Installing : perl-interpreter-4:5.36.1-494.fc37.x86_64 144/185 Installing : perl-Error-1:0.17029-10.fc37.noarch 145/185 Installing : perl-TermReadKey-2.38-14.fc37.x86_64 146/185 Installing : perl-File-Find-1.40-494.fc37.noarch 147/185 Installing : perl-lib-0.65-494.fc37.x86_64 148/185 Installing : perl-Git-2.41.0-1.fc37.noarch 149/185 Installing : git-2.41.0-1.fc37.x86_64 150/185 Installing : graphite2-1.3.14-10.fc37.x86_64 151/185 Installing : harfbuzz-5.2.0-1.fc37.x86_64 152/185 Installing : freetype-2.12.1-3.fc37.x86_64 153/185 Installing : fontconfig-2.14.1-2.fc37.x86_64 154/185 Running scriptlet: fontconfig-2.14.1-2.fc37.x86_64 154/185 Installing : cairo-1.17.6-2.fc37.x86_64 155/185 Installing : cairo-gobject-1.17.6-2.fc37.x86_64 156/185 Installing : libXft-2.3.4-3.fc37.x86_64 157/185 Installing : gd-2.3.3-9.fc37.x86_64 158/185 Installing : poppler-22.08.0-4.fc37.x86_64 159/185 Installing : poppler-glib-22.08.0-4.fc37.x86_64 160/185 Installing : mkfontscale-1.2.2-2.fc37.x86_64 161/185 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 162/185 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 162/185 Installing : gc-8.0.6-4.fc37.x86_64 163/185 Installing : guile22-2.2.7-6.fc37.x86_64 164/185 Installing : make-1:4.3-11.fc37.x86_64 165/185 Installing : gcc-12.3.1-1.fc37.x86_64 166/185 Running scriptlet: gcc-12.3.1-1.fc37.x86_64 166/185 Installing : fribidi-1.0.12-2.fc37.x86_64 167/185 Installing : pango-1.50.14-1.fc37.x86_64 168/185 Installing : lasi-1.1.3-9.fc37.x86_64 169/185 Installing : librsvg2-2.54.6-1.fc37.x86_64 170/185 Installing : adobe-mappings-pdf-20190401-2.fc37.noarch 171/185 Installing : libgs-9.56.1-9.fc37.x86_64 172/185 Installing : abc-libs-1.02-20231114.0.git6ca7eab4.fc37.x86_64 173/185 Installing : abc-1.02-20231114.0.git6ca7eab4.fc37.x86_64 174/185 Installing : graphviz-5.0.0-4.fc37.x86_64 175/185 Running scriptlet: graphviz-5.0.0-4.fc37.x86_64 175/185 Installing : annobin-plugin-gcc-12.28-1.fc37.x86_64 176/185 Running scriptlet: annobin-plugin-gcc-12.28-1.fc37.x86_64 176/185 Installing : gcc-c++-12.3.1-1.fc37.x86_64 177/185 Installing : gcc-plugin-annobin-12.3.1-1.fc37.x86_64 178/185 Installing : tcl-devel-1:8.6.12-3.fc37.x86_64 179/185 Installing : readline-devel-8.2-2.fc37.x86_64 180/185 Installing : bison-3.8.2-3.fc37.x86_64 181/185 Installing : flex-2.6.4-11.fc37.x86_64 182/185 Installing : libffi-devel-3.4.4-1.fc37.x86_64 183/185 Installing : txt2man-1.7.1-3.fc37.noarch 184/185 Installing : iverilog-13.0-20231106.0.gitb1006b38.fc37.x86_64 185/185 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noarc 185/185 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 185/185 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 185/185 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 185/185 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-15 185/185 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 185/185 Running scriptlet: crypto-policies-scripts-20220815-1.gite4ed860.fc 185/185 Running scriptlet: nss-3.94.0-2.fc37.x86_64 185/185 Running scriptlet: fontconfig-2.14.1-2.fc37.x86_64 185/185 Running scriptlet: iverilog-13.0-20231106.0.gitb1006b38.fc37.x86_64 185/185 Verifying : abc-1.02-20231114.0.git6ca7eab4.fc37.x86_64 1/185 Verifying : abc-libs-1.02-20231114.0.git6ca7eab4.fc37.x86_64 2/185 Verifying : iverilog-13.0-20231106.0.gitb1006b38.fc37.x86_64 3/185 Verifying : adobe-mappings-pdf-20190401-2.fc37.noarch 4/185 Verifying : bison-3.8.2-3.fc37.x86_64 5/185 Verifying : cairo-1.17.6-2.fc37.x86_64 6/185 Verifying : cairo-gobject-1.17.6-2.fc37.x86_64 7/185 Verifying : crypto-policies-scripts-20220815-1.gite4ed860.fc 8/185 Verifying : flex-2.6.4-11.fc37.x86_64 9/185 Verifying : fonts-filesystem-1:2.0.5-9.fc37.noarch 10/185 Verifying : freetype-2.12.1-3.fc37.x86_64 11/185 Verifying : fribidi-1.0.12-2.fc37.x86_64 12/185 Verifying : gc-8.0.6-4.fc37.x86_64 13/185 Verifying : gdk-pixbuf2-2.42.10-1.fc37.x86_64 14/185 Verifying : google-droid-sans-fonts-20200215-13.fc37.noarch 15/185 Verifying : graphite2-1.3.14-10.fc37.x86_64 16/185 Verifying : graphviz-5.0.0-4.fc37.x86_64 17/185 Verifying : groff-base-1.22.4-10.fc37.x86_64 18/185 Verifying : gts-0.7.6-42.20121130.fc37.x86_64 19/185 Verifying : guile22-2.2.7-6.fc37.x86_64 20/185 Verifying : harfbuzz-5.2.0-1.fc37.x86_64 21/185 Verifying : jbig2dec-libs-0.19-7.fc37.x86_64 22/185 Verifying : jbigkit-libs-2.1-24.fc37.x86_64 23/185 Verifying : langpacks-core-font-en-3.0-26.fc37.noarch 24/185 Verifying : lasi-1.1.3-9.fc37.x86_64 25/185 Verifying : libXau-1.0.10-1.fc37.x86_64 26/185 Verifying : libXext-1.3.4-9.fc37.x86_64 27/185 Verifying : libXft-2.3.4-3.fc37.x86_64 28/185 Verifying : libXrender-0.9.10-17.fc37.x86_64 29/185 Verifying : libb2-0.98.1-7.fc37.x86_64 30/185 Verifying : libcbor-0.7.0-7.fc37.x86_64 31/185 Verifying : libdatrie-0.2.13-4.fc37.x86_64 32/185 Verifying : libfido2-1.11.0-3.fc37.x86_64 33/185 Verifying : libfontenc-1.1.4-4.fc37.x86_64 34/185 Verifying : libijs-0.35-16.fc37.x86_64 35/185 Verifying : libimagequant-2.17.0-3.fc37.x86_64 36/185 Verifying : libjpeg-turbo-2.1.3-2.fc37.x86_64 37/185 Verifying : libmpc-1.2.1-5.fc37.x86_64 38/185 Verifying : libpaper-1.1.28-5.fc37.x86_64 39/185 Verifying : libpng-2:1.6.37-13.fc37.x86_64 40/185 Verifying : libthai-0.1.29-3.fc37.x86_64 41/185 Verifying : libtiff-4.4.0-4.fc37.x86_64 42/185 Verifying : libtool-ltdl-2.4.7-2.fc37.x86_64 43/185 Verifying : libvmaf-2.3.0-4.fc37.x86_64 44/185 Verifying : libxcb-1.13.1-10.fc37.x86_64 45/185 Verifying : m4-1.4.19-4.fc37.x86_64 46/185 Verifying : make-1:4.3-11.fc37.x86_64 47/185 Verifying : mkfontscale-1.2.2-2.fc37.x86_64 48/185 Verifying : mpdecimal-2.5.1-4.fc37.x86_64 49/185 Verifying : nettle-3.8-2.fc37.x86_64 50/185 Verifying : perl-Carp-1.52-489.fc37.noarch 51/185 Verifying : perl-Encode-4:3.19-492.fc37.x86_64 52/185 Verifying : perl-Error-1:0.17029-10.fc37.noarch 53/185 Verifying : perl-Exporter-5.77-489.fc37.noarch 54/185 Verifying : perl-File-Path-2.18-489.fc37.noarch 55/185 Verifying : perl-File-Temp-1:0.231.100-489.fc37.noarch 56/185 Verifying : perl-MIME-Base64-3.16-489.fc37.x86_64 57/185 Verifying : perl-PathTools-3.84-489.fc37.x86_64 58/185 Verifying : perl-Pod-Escapes-1:1.07-489.fc37.noarch 59/185 Verifying : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 60/185 Verifying : perl-Pod-Simple-1:3.43-490.fc37.noarch 61/185 Verifying : perl-Pod-Usage-4:2.03-3.fc37.noarch 62/185 Verifying : perl-Scalar-List-Utils-5:1.63-489.fc37.x86_64 63/185 Verifying : perl-Socket-4:2.036-1.fc37.x86_64 64/185 Verifying : perl-Storable-1:3.26-489.fc37.x86_64 65/185 Verifying : perl-Term-ANSIColor-5.01-490.fc37.noarch 66/185 Verifying : perl-Term-Cap-1.17-489.fc37.noarch 67/185 Verifying : perl-TermReadKey-2.38-14.fc37.x86_64 68/185 Verifying : perl-Text-ParseWords-3.31-489.fc37.noarch 69/185 Verifying : perl-Time-Local-2:1.300-489.fc37.noarch 70/185 Verifying : perl-constant-1.33-490.fc37.noarch 71/185 Verifying : perl-parent-1:0.238-489.fc37.noarch 72/185 Verifying : perl-podlators-1:4.14-489.fc37.noarch 73/185 Verifying : pixman-0.40.0-6.fc37.x86_64 74/185 Verifying : poppler-data-0.4.11-3.fc37.noarch 75/185 Verifying : shared-mime-info-2.2-2.fc37.x86_64 76/185 Verifying : svt-av1-libs-1.1.0-2.fc37.x86_64 77/185 Verifying : tcl-1:8.6.12-3.fc37.x86_64 78/185 Verifying : tcl-devel-1:8.6.12-3.fc37.x86_64 79/185 Verifying : txt2man-1.7.1-3.fc37.noarch 80/185 Verifying : urw-base35-bookman-fonts-20200910-15.fc37.noarch 81/185 Verifying : urw-base35-c059-fonts-20200910-15.fc37.noarch 82/185 Verifying : urw-base35-d050000l-fonts-20200910-15.fc37.noarc 83/185 Verifying : urw-base35-fonts-20200910-15.fc37.noarch 84/185 Verifying : urw-base35-fonts-common-20200910-15.fc37.noarch 85/185 Verifying : urw-base35-gothic-fonts-20200910-15.fc37.noarch 86/185 Verifying : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37 87/185 Verifying : urw-base35-nimbus-roman-fonts-20200910-15.fc37.n 88/185 Verifying : urw-base35-nimbus-sans-fonts-20200910-15.fc37.no 89/185 Verifying : urw-base35-p052-fonts-20200910-15.fc37.noarch 90/185 Verifying : urw-base35-standard-symbols-ps-fonts-20200910-15 91/185 Verifying : urw-base35-z003-fonts-20200910-15.fc37.noarch 92/185 Verifying : xml-common-0.6.3-59.fc37.noarch 93/185 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noar 94/185 Verifying : zlib-devel-1.2.12-5.fc37.x86_64 95/185 Verifying : adobe-mappings-cmap-20230622-1.fc37.noarch 96/185 Verifying : adobe-mappings-cmap-deprecated-20230622-1.fc37.n 97/185 Verifying : annobin-docs-12.28-1.fc37.noarch 98/185 Verifying : annobin-plugin-gcc-12.28-1.fc37.x86_64 99/185 Verifying : avahi-libs-0.8-18.fc37.x86_64 100/185 Verifying : cpp-12.3.1-1.fc37.x86_64 101/185 Verifying : cups-libs-1:2.4.7-1.fc37.x86_64 102/185 Verifying : dbus-libs-1:1.14.10-1.fc37.x86_64 103/185 Verifying : expat-2.5.0-1.fc37.x86_64 104/185 Verifying : fontconfig-2.14.1-2.fc37.x86_64 105/185 Verifying : gcc-12.3.1-1.fc37.x86_64 106/185 Verifying : gcc-c++-12.3.1-1.fc37.x86_64 107/185 Verifying : gcc-plugin-annobin-12.3.1-1.fc37.x86_64 108/185 Verifying : gd-2.3.3-9.fc37.x86_64 109/185 Verifying : git-2.41.0-1.fc37.x86_64 110/185 Verifying : git-core-2.41.0-1.fc37.x86_64 111/185 Verifying : git-core-doc-2.41.0-1.fc37.noarch 112/185 Verifying : glib2-2.74.7-2.fc37.x86_64 113/185 Verifying : glibc-devel-2.36-14.fc37.x86_64 114/185 Verifying : glibc-headers-x86-2.36-14.fc37.noarch 115/185 Verifying : gnutls-3.8.1-1.fc37.x86_64 116/185 Verifying : google-noto-fonts-common-20201206^1.git0c78c8329 117/185 Verifying : google-noto-sans-vf-fonts-20201206^1.git0c78c832 118/185 Verifying : highway-1.0.7-1.fc37.x86_64 119/185 Verifying : kernel-headers-6.5.4-100.fc37.x86_64 120/185 Verifying : lcms2-2.14-1.fc37.x86_64 121/185 Verifying : less-633-1.fc37.x86_64 122/185 Verifying : libX11-1.8.4-1.fc37.x86_64 123/185 Verifying : libX11-common-1.8.4-1.fc37.noarch 124/185 Verifying : libXpm-3.5.15-2.fc37.x86_64 125/185 Verifying : libaom-3.7.0-1.fc37.x86_64 126/185 Verifying : libavif-0.11.1-4.fc37.x86_64 127/185 Verifying : libdav1d-1.2.1-1.fc37.x86_64 128/185 Verifying : libedit-3.1-43.20221009cvs.fc37.x86_64 129/185 Verifying : libffi-devel-3.4.4-1.fc37.x86_64 130/185 Verifying : libgs-9.56.1-9.fc37.x86_64 131/185 Verifying : libjxl-1:0.7.0-5.fc37.x86_64 132/185 Verifying : librsvg2-2.54.6-1.fc37.x86_64 133/185 Verifying : libstdc++-devel-12.3.1-1.fc37.x86_64 134/185 Verifying : libwebp-1.3.2-2.fc37.x86_64 135/185 Verifying : libxcrypt-devel-4.4.36-1.fc37.x86_64 136/185 Verifying : ncurses-6.4-3.20230114.fc37.x86_64 137/185 Verifying : ncurses-c++-libs-6.4-3.20230114.fc37.x86_64 138/185 Verifying : ncurses-devel-6.4-3.20230114.fc37.x86_64 139/185 Verifying : netpbm-11.02.00-1.fc37.x86_64 140/185 Verifying : nspr-4.35.0-11.fc37.x86_64 141/185 Verifying : nss-3.94.0-2.fc37.x86_64 142/185 Verifying : nss-softokn-3.94.0-2.fc37.x86_64 143/185 Verifying : nss-softokn-freebl-3.94.0-2.fc37.x86_64 144/185 Verifying : nss-sysinit-3.94.0-2.fc37.x86_64 145/185 Verifying : nss-util-3.94.0-2.fc37.x86_64 146/185 Verifying : openjpeg2-2.5.0-5.fc37.x86_64 147/185 Verifying : openssh-8.8p1-11.fc37.x86_64 148/185 Verifying : openssh-clients-8.8p1-11.fc37.x86_64 149/185 Verifying : pango-1.50.14-1.fc37.x86_64 150/185 Verifying : perl-Class-Struct-0.66-494.fc37.noarch 151/185 Verifying : perl-DynaLoader-1.52-494.fc37.x86_64 152/185 Verifying : perl-Errno-1.36-494.fc37.x86_64 153/185 Verifying : perl-Fcntl-1.15-494.fc37.x86_64 154/185 Verifying : perl-File-Basename-2.85-494.fc37.noarch 155/185 Verifying : perl-File-Find-1.40-494.fc37.noarch 156/185 Verifying : perl-File-stat-1.12-494.fc37.noarch 157/185 Verifying : perl-Getopt-Long-1:2.54-1.fc37.noarch 158/185 Verifying : perl-Getopt-Std-1.13-494.fc37.noarch 159/185 Verifying : perl-Git-2.41.0-1.fc37.noarch 160/185 Verifying : perl-HTTP-Tiny-0.086-1.fc37.noarch 161/185 Verifying : perl-IO-1.50-494.fc37.x86_64 162/185 Verifying : perl-IPC-Open3-1.22-494.fc37.noarch 163/185 Verifying : perl-POSIX-2.03-494.fc37.x86_64 164/185 Verifying : perl-SelectSaver-1.02-494.fc37.noarch 165/185 Verifying : perl-Symbol-1.09-494.fc37.noarch 166/185 Verifying : perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch 167/185 Verifying : perl-if-0.61.000-494.fc37.noarch 168/185 Verifying : perl-interpreter-4:5.36.1-494.fc37.x86_64 169/185 Verifying : perl-lib-0.65-494.fc37.x86_64 170/185 Verifying : perl-libs-4:5.36.1-494.fc37.x86_64 171/185 Verifying : perl-locale-1.10-494.fc37.noarch 172/185 Verifying : perl-mro-1.26-494.fc37.x86_64 173/185 Verifying : perl-overload-1.35-494.fc37.noarch 174/185 Verifying : perl-overloading-0.02-494.fc37.noarch 175/185 Verifying : perl-subs-1.04-494.fc37.noarch 176/185 Verifying : perl-vars-1.05-494.fc37.noarch 177/185 Verifying : poppler-22.08.0-4.fc37.x86_64 178/185 Verifying : poppler-glib-22.08.0-4.fc37.x86_64 179/185 Verifying : python-pip-wheel-22.2.2-3.fc37.noarch 180/185 Verifying : python-setuptools-wheel-62.6.0-3.fc37.noarch 181/185 Verifying : python3-3.11.6-1.fc37.x86_64 182/185 Verifying : python3-libs-3.11.6-1.fc37.x86_64 183/185 Verifying : rav1e-libs-0.6.6-3.fc37.x86_64 184/185 Verifying : readline-devel-8.2-2.fc37.x86_64 185/185 Installed: abc-1.02-20231114.0.git6ca7eab4.fc37.x86_64 abc-libs-1.02-20231114.0.git6ca7eab4.fc37.x86_64 adobe-mappings-cmap-20230622-1.fc37.noarch adobe-mappings-cmap-deprecated-20230622-1.fc37.noarch adobe-mappings-pdf-20190401-2.fc37.noarch annobin-docs-12.28-1.fc37.noarch annobin-plugin-gcc-12.28-1.fc37.x86_64 avahi-libs-0.8-18.fc37.x86_64 bison-3.8.2-3.fc37.x86_64 cairo-1.17.6-2.fc37.x86_64 cairo-gobject-1.17.6-2.fc37.x86_64 cpp-12.3.1-1.fc37.x86_64 crypto-policies-scripts-20220815-1.gite4ed860.fc37.noarch cups-libs-1:2.4.7-1.fc37.x86_64 dbus-libs-1:1.14.10-1.fc37.x86_64 expat-2.5.0-1.fc37.x86_64 flex-2.6.4-11.fc37.x86_64 fontconfig-2.14.1-2.fc37.x86_64 fonts-filesystem-1:2.0.5-9.fc37.noarch freetype-2.12.1-3.fc37.x86_64 fribidi-1.0.12-2.fc37.x86_64 gc-8.0.6-4.fc37.x86_64 gcc-12.3.1-1.fc37.x86_64 gcc-c++-12.3.1-1.fc37.x86_64 gcc-plugin-annobin-12.3.1-1.fc37.x86_64 gd-2.3.3-9.fc37.x86_64 gdk-pixbuf2-2.42.10-1.fc37.x86_64 git-2.41.0-1.fc37.x86_64 git-core-2.41.0-1.fc37.x86_64 git-core-doc-2.41.0-1.fc37.noarch glib2-2.74.7-2.fc37.x86_64 glibc-devel-2.36-14.fc37.x86_64 glibc-headers-x86-2.36-14.fc37.noarch gnutls-3.8.1-1.fc37.x86_64 google-droid-sans-fonts-20200215-13.fc37.noarch google-noto-fonts-common-20201206^1.git0c78c8329-7.fc37.noarch google-noto-sans-vf-fonts-20201206^1.git0c78c8329-7.fc37.noarch graphite2-1.3.14-10.fc37.x86_64 graphviz-5.0.0-4.fc37.x86_64 groff-base-1.22.4-10.fc37.x86_64 gts-0.7.6-42.20121130.fc37.x86_64 guile22-2.2.7-6.fc37.x86_64 harfbuzz-5.2.0-1.fc37.x86_64 highway-1.0.7-1.fc37.x86_64 iverilog-13.0-20231106.0.gitb1006b38.fc37.x86_64 jbig2dec-libs-0.19-7.fc37.x86_64 jbigkit-libs-2.1-24.fc37.x86_64 kernel-headers-6.5.4-100.fc37.x86_64 langpacks-core-font-en-3.0-26.fc37.noarch lasi-1.1.3-9.fc37.x86_64 lcms2-2.14-1.fc37.x86_64 less-633-1.fc37.x86_64 libX11-1.8.4-1.fc37.x86_64 libX11-common-1.8.4-1.fc37.noarch libXau-1.0.10-1.fc37.x86_64 libXext-1.3.4-9.fc37.x86_64 libXft-2.3.4-3.fc37.x86_64 libXpm-3.5.15-2.fc37.x86_64 libXrender-0.9.10-17.fc37.x86_64 libaom-3.7.0-1.fc37.x86_64 libavif-0.11.1-4.fc37.x86_64 libb2-0.98.1-7.fc37.x86_64 libcbor-0.7.0-7.fc37.x86_64 libdatrie-0.2.13-4.fc37.x86_64 libdav1d-1.2.1-1.fc37.x86_64 libedit-3.1-43.20221009cvs.fc37.x86_64 libffi-devel-3.4.4-1.fc37.x86_64 libfido2-1.11.0-3.fc37.x86_64 libfontenc-1.1.4-4.fc37.x86_64 libgs-9.56.1-9.fc37.x86_64 libijs-0.35-16.fc37.x86_64 libimagequant-2.17.0-3.fc37.x86_64 libjpeg-turbo-2.1.3-2.fc37.x86_64 libjxl-1:0.7.0-5.fc37.x86_64 libmpc-1.2.1-5.fc37.x86_64 libpaper-1.1.28-5.fc37.x86_64 libpng-2:1.6.37-13.fc37.x86_64 librsvg2-2.54.6-1.fc37.x86_64 libstdc++-devel-12.3.1-1.fc37.x86_64 libthai-0.1.29-3.fc37.x86_64 libtiff-4.4.0-4.fc37.x86_64 libtool-ltdl-2.4.7-2.fc37.x86_64 libvmaf-2.3.0-4.fc37.x86_64 libwebp-1.3.2-2.fc37.x86_64 libxcb-1.13.1-10.fc37.x86_64 libxcrypt-devel-4.4.36-1.fc37.x86_64 m4-1.4.19-4.fc37.x86_64 make-1:4.3-11.fc37.x86_64 mkfontscale-1.2.2-2.fc37.x86_64 mpdecimal-2.5.1-4.fc37.x86_64 ncurses-6.4-3.20230114.fc37.x86_64 ncurses-c++-libs-6.4-3.20230114.fc37.x86_64 ncurses-devel-6.4-3.20230114.fc37.x86_64 netpbm-11.02.00-1.fc37.x86_64 nettle-3.8-2.fc37.x86_64 nspr-4.35.0-11.fc37.x86_64 nss-3.94.0-2.fc37.x86_64 nss-softokn-3.94.0-2.fc37.x86_64 nss-softokn-freebl-3.94.0-2.fc37.x86_64 nss-sysinit-3.94.0-2.fc37.x86_64 nss-util-3.94.0-2.fc37.x86_64 openjpeg2-2.5.0-5.fc37.x86_64 openssh-8.8p1-11.fc37.x86_64 openssh-clients-8.8p1-11.fc37.x86_64 pango-1.50.14-1.fc37.x86_64 perl-Carp-1.52-489.fc37.noarch perl-Class-Struct-0.66-494.fc37.noarch perl-DynaLoader-1.52-494.fc37.x86_64 perl-Encode-4:3.19-492.fc37.x86_64 perl-Errno-1.36-494.fc37.x86_64 perl-Error-1:0.17029-10.fc37.noarch perl-Exporter-5.77-489.fc37.noarch perl-Fcntl-1.15-494.fc37.x86_64 perl-File-Basename-2.85-494.fc37.noarch perl-File-Find-1.40-494.fc37.noarch perl-File-Path-2.18-489.fc37.noarch perl-File-Temp-1:0.231.100-489.fc37.noarch perl-File-stat-1.12-494.fc37.noarch perl-Getopt-Long-1:2.54-1.fc37.noarch perl-Getopt-Std-1.13-494.fc37.noarch perl-Git-2.41.0-1.fc37.noarch perl-HTTP-Tiny-0.086-1.fc37.noarch perl-IO-1.50-494.fc37.x86_64 perl-IPC-Open3-1.22-494.fc37.noarch perl-MIME-Base64-3.16-489.fc37.x86_64 perl-POSIX-2.03-494.fc37.x86_64 perl-PathTools-3.84-489.fc37.x86_64 perl-Pod-Escapes-1:1.07-489.fc37.noarch perl-Pod-Perldoc-3.28.01-490.fc37.noarch perl-Pod-Simple-1:3.43-490.fc37.noarch perl-Pod-Usage-4:2.03-3.fc37.noarch perl-Scalar-List-Utils-5:1.63-489.fc37.x86_64 perl-SelectSaver-1.02-494.fc37.noarch perl-Socket-4:2.036-1.fc37.x86_64 perl-Storable-1:3.26-489.fc37.x86_64 perl-Symbol-1.09-494.fc37.noarch perl-Term-ANSIColor-5.01-490.fc37.noarch perl-Term-Cap-1.17-489.fc37.noarch perl-TermReadKey-2.38-14.fc37.x86_64 perl-Text-ParseWords-3.31-489.fc37.noarch perl-Text-Tabs+Wrap-2023.0511-1.fc37.noarch perl-Time-Local-2:1.300-489.fc37.noarch perl-constant-1.33-490.fc37.noarch perl-if-0.61.000-494.fc37.noarch perl-interpreter-4:5.36.1-494.fc37.x86_64 perl-lib-0.65-494.fc37.x86_64 perl-libs-4:5.36.1-494.fc37.x86_64 perl-locale-1.10-494.fc37.noarch perl-mro-1.26-494.fc37.x86_64 perl-overload-1.35-494.fc37.noarch perl-overloading-0.02-494.fc37.noarch perl-parent-1:0.238-489.fc37.noarch perl-podlators-1:4.14-489.fc37.noarch perl-subs-1.04-494.fc37.noarch perl-vars-1.05-494.fc37.noarch pixman-0.40.0-6.fc37.x86_64 poppler-22.08.0-4.fc37.x86_64 poppler-data-0.4.11-3.fc37.noarch poppler-glib-22.08.0-4.fc37.x86_64 python-pip-wheel-22.2.2-3.fc37.noarch python-setuptools-wheel-62.6.0-3.fc37.noarch python3-3.11.6-1.fc37.x86_64 python3-libs-3.11.6-1.fc37.x86_64 rav1e-libs-0.6.6-3.fc37.x86_64 readline-devel-8.2-2.fc37.x86_64 shared-mime-info-2.2-2.fc37.x86_64 svt-av1-libs-1.1.0-2.fc37.x86_64 tcl-1:8.6.12-3.fc37.x86_64 tcl-devel-1:8.6.12-3.fc37.x86_64 txt2man-1.7.1-3.fc37.noarch urw-base35-bookman-fonts-20200910-15.fc37.noarch urw-base35-c059-fonts-20200910-15.fc37.noarch urw-base35-d050000l-fonts-20200910-15.fc37.noarch urw-base35-fonts-20200910-15.fc37.noarch urw-base35-fonts-common-20200910-15.fc37.noarch urw-base35-gothic-fonts-20200910-15.fc37.noarch urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37.noarch urw-base35-nimbus-roman-fonts-20200910-15.fc37.noarch urw-base35-nimbus-sans-fonts-20200910-15.fc37.noarch urw-base35-p052-fonts-20200910-15.fc37.noarch urw-base35-standard-symbols-ps-fonts-20200910-15.fc37.noarch urw-base35-z003-fonts-20200910-15.fc37.noarch xml-common-0.6.3-59.fc37.noarch xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noarch zlib-devel-1.2.12-5.fc37.x86_64 Complete! Finish: build setup for yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm Start: rpmbuild yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm warning: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.QyMKeC + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys + /usr/bin/mkdir -p yosys + cd yosys + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/YosysHQ/yosys.git . Cloning into '.'... + git fetch --depth 1 origin 7eea047793afcfafe7507d828aeec574f56f8901 From https://github.com/YosysHQ/yosys * branch 7eea047793afcfafe7507d828aeec574f56f8901 -> FETCH_HEAD + git reset --hard 7eea047793afcfafe7507d828aeec574f56f8901 HEAD is now at 7eea047 Bump version + git log --format=fuller commit 7eea047793afcfafe7507d828aeec574f56f8901 Author: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> AuthorDate: Wed Nov 15 00:15:49 2023 +0000 Commit: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> CommitDate: Wed Nov 15 00:15:49 2023 +0000 Bump version + echo 'Patch #1 (yosys-cfginc.patch):' Patch #1 (yosys-cfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 791 (offset 1 line). + echo 'Patch #2 (yosys-mancfginc.patch):' Patch #2 (yosys-mancfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/PRESENTATION_Prog/Makefile + find manual -name '*.tex' -exec sed -i 's|{luximono}|{libertine}|g' '{}' + + find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' + + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.LP7LwI + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys + make config-gcc /bin/sh: line 1: clang: command not found rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_7eea04779.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys/docs' rm -rf build/* make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs' make -C docs/images clean make[1]: Entering directory '/builddir/build/BUILD/yosys/docs/images' rm -f *.log rm -f *.aux rm -f 011/*.log 011/*.aux rm -f *.pdf rm -f *.svg rm -f 011/*.pdf 011/*.svg make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs/images' rm -rf docs/source/cmd docs/util/__pycache__ echo 'CONFIG := gcc' > Makefile.conf + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ gcc -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.35+24 (git sha1 7eea04779, gcc 12.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os)\"; }" > kernel/version_7eea04779.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ gcc -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ gcc -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ gcc -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here 1259 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here 1231 | int undef_srst; | ^~~~~~~~~~ mkdir -p kernel/ gcc -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/yw.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ gcc -o kernel/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ gcc -o kernel/fmt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ gcc -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ gcc -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ gcc -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/viz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitcells.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ gcc -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ gcc -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ gcc -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ gcc -o passes/cmds/xprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ gcc -o passes/cmds/dft_tag.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ gcc -o passes/cmds/future.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ gcc -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/recover_names.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ gcc -o passes/sat/synthprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/booth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/techmap/abc.cc:2005:50: warning: 'g_argidx' may be used uninitialized [-Wmaybe-uninitialized] 2005 | cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str())); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/techmap/abc.cc:1719:32: note: 'g_argidx' was declared here 1719 | size_t argidx, g_argidx; | ^~~~~~~~ mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bwmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ gcc -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ gcc -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ gcc -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ gcc -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ gcc -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/synth_lattice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/lattice_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/nexus/ gcc -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ gcc -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I"/usr/share/yosys/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/cyclone10lp mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneive mkdir -p share/intel/max10 cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v mkdir -p share/lattice mkdir -p share/nexus cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ gcc -o kernel/version_7eea04779.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_7eea04779.cc mkdir -p kernel/ gcc -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ gcc -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic kernel/version_7eea04779.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.VZJylX + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/include/yosys + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 0.35-20231115.0.git7eea0477.fc37 --unique-debug-suffix -0.35-20231115.0.git7eea0477.fc37.x86_64 --unique-debug-src-base yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/bin/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/bin/yosys-filterlib dwz: Too few files for multifile optimization original debug info size: 285736kB, size after compression: 244072kB /usr/bin/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 13906 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash + /usr/lib/rpm/brp-remove-la-files + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.DB7pSV + umask 022 + cd /builddir/build/BUILD + cd yosys + DOCDIR=/builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/doc/yosys + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/doc/yosys + cp -pr README.md /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.3GdI0w + umask 022 + cd /builddir/build/BUILD + cd yosys + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/licenses/yosys + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/licenses/yosys + cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.35-20231115.0.git7eea0477.fc37 yosys(x86-64) = 0.35-20231115.0.git7eea0477.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.15)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libffi.so.8()(64bit) libffi.so.8(LIBFFI_BASE_8.0)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.35-20231115.0.git7eea0477.fc37.x86_64 Provides: yosys-doc = 0.35-20231115.0.git7eea0477.fc37 yosys-doc(x86-64) = 0.35-20231115.0.git7eea0477.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.35-20231115.0.git7eea0477.fc37.noarch Provides: yosys-share = 0.35-20231115.0.git7eea0477.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.35-20231115.0.git7eea0477.fc37.x86_64 Provides: yosys-devel = 0.35-20231115.0.git7eea0477.fc37 yosys-devel(x86-64) = 0.35-20231115.0.git7eea0477.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.35-20231115.0.git7eea0477.fc37.x86_64 Provides: yosys-debugsource = 0.35-20231115.0.git7eea0477.fc37 yosys-debugsource(x86-64) = 0.35-20231115.0.git7eea0477.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.35-20231115.0.git7eea0477.fc37.x86_64 Provides: debuginfo(build-id) = 13542f612ea8cb6154f059f3c65c52ae29e843eb debuginfo(build-id) = 84de936a79fc57dc28471b7a04f5c3cdea4c6b13 yosys-debuginfo = 0.35-20231115.0.git7eea0477.fc37 yosys-debuginfo(x86-64) = 0.35-20231115.0.git7eea0477.fc37 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-64) = 0.35-20231115.0.git7eea0477.fc37 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 Wrote: /builddir/build/RPMS/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.35-20231115.0.git7eea0477.fc37.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-share-0.35-20231115.0.git7eea0477.fc37.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.35-20231115.0.git7eea0477.fc37.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.35-20231115.0.git7eea0477.fc37.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.35-20231115.0.git7eea0477.fc37.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.cokp4u + umask 022 + cd /builddir/build/BUILD + cd yosys + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.35-20231115.0.git7eea0477.fc37.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.UpjKIn + umask 022 + cd /builddir/build/BUILD + rm -rf yosys yosys.gemspec + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: %patchN is deprecated (2 usages found), use %patch N (or %patch -P N) Finish: rpmbuild yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm Finish: build phase for yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-37-x86_64-1700011767.954117/root/var/log/dnf.rpm.log /var/lib/mock/fedora-37-x86_64-1700011767.954117/root/var/log/dnf.librepo.log /var/lib/mock/fedora-37-x86_64-1700011767.954117/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.35-20231115.0.git7eea0477.fc37.src.rpm) Config(child) 11 minutes 55 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "yosys-devel", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "x86_64" }, { "name": "yosys-debugsource", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "x86_64" }, { "name": "yosys", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "x86_64" }, { "name": "yosys", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "src" }, { "name": "yosys-doc", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "x86_64" }, { "name": "yosys-debuginfo", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "x86_64" }, { "name": "yosys-share", "epoch": null, "version": "0.35", "release": "20231115.0.git7eea0477.fc37", "arch": "noarch" } ] } RPMResults finished