Warning: Permanently added '34.229.217.150' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7395187-fedora-39-aarch64 --chroot fedora-39-aarch64 Version: 0.72 PID: 6599 Logging PID: 6600 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 7395187, 'buildroot_pkgs': [], 'chroot': 'fedora-39-aarch64', 'enable_net': True, 'fedora_review': False, 'git_hash': '54273d65a1affc336209eb11271c95d1c60f9e43', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1:0.7-20240430.0.gitf0859503', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-39-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-39-aarch64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-39-aarch64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'rezso', 'tags': [], 'task_id': '7395187-fedora-39-aarch64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr'... Running: git checkout 54273d65a1affc336209eb11271c95d1c60f9e43 -- cmd: ['git', 'checkout', '54273d65a1affc336209eb11271c95d1c60f9e43', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr rc: 0 stdout: stderr: Note: switching to '54273d65a1affc336209eb11271c95d1c60f9e43'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 54273d6 automatic import of nextpnr Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1714525352.459299 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.5 starting (python version = 3.12.1, NVR = mock-5.5-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1714525352.459299 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr/nextpnr.spec) Config(fedora-39-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.5 INFO: Mock Version: 5.5 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-bootstrap-1714525352.459299/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:39 INFO: Pulling image: registry.fedoraproject.org/fedora:39 INFO: Copy content of container registry.fedoraproject.org/fedora:39 to /var/lib/mock/fedora-39-aarch64-bootstrap-1714525352.459299/root INFO: Checking that registry.fedoraproject.org/fedora:39 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:39 with podman image mount INFO: image registry.fedoraproject.org/fedora:39 as /var/lib/containers/storage/overlay/6c81bdd7edc5ecd2c20b9eb55fcb90930912c20a79c300ed8b9b9170e1e7fc8d/merged INFO: umounting image registry.fedoraproject.org/fedora:39 (/var/lib/containers/storage/overlay/6c81bdd7edc5ecd2c20b9eb55fcb90930912c20a79c300ed8b9b9170e1e7fc8d/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 12 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 17 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_CUDA 636 kB/s | 61 kB 00:00 Additional repo http_developer_download_nvidia_ 158 MB/s | 3.4 MB 00:00 Additional repo http_developer_download_nvidia_ 139 MB/s | 2.0 MB 00:00 Additional repo http_developer_download_nvidia_ 71 MB/s | 1.8 MB 00:00 fedora 53 MB/s | 86 MB 00:01 updates 40 MB/s | 34 MB 00:00 Package python3-dnf-4.19.2-1.fc39.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.6.0-1.fc39 updates 317 k Installing dependencies: dbus-libs aarch64 1:1.14.10-1.fc39 fedora 156 k python3-dateutil noarch 1:2.8.2-10.fc39 fedora 355 k python3-dbus aarch64 1.3.2-4.fc39 fedora 157 k python3-distro noarch 1.8.0-6.fc39 fedora 49 k python3-six noarch 1.16.0-12.fc39 fedora 41 k python3-systemd aarch64 235-5.fc39 fedora 107 k Transaction Summary ================================================================================ Install 7 Packages Total download size: 1.2 M Installed size: 4.7 M Downloading Packages: (1/7): python3-dbus-1.3.2-4.fc39.aarch64.rpm 7.2 MB/s | 157 kB 00:00 (2/7): dbus-libs-1.14.10-1.fc39.aarch64.rpm 6.5 MB/s | 156 kB 00:00 (3/7): python3-distro-1.8.0-6.fc39.noarch.rpm 9.8 MB/s | 49 kB 00:00 (4/7): python3-dateutil-2.8.2-10.fc39.noarch.rp 13 MB/s | 355 kB 00:00 (5/7): python3-six-1.16.0-12.fc39.noarch.rpm 9.3 MB/s | 41 kB 00:00 (6/7): python3-systemd-235-5.fc39.aarch64.rpm 44 MB/s | 107 kB 00:00 (7/7): python3-dnf-plugins-core-4.6.0-1.fc39.no 75 MB/s | 317 kB 00:00 -------------------------------------------------------------------------------- Total 3.1 MB/s | 1.2 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : python3-systemd-235-5.fc39.aarch64 1/7 Installing : python3-six-1.16.0-12.fc39.noarch 2/7 Installing : python3-dateutil-1:2.8.2-10.fc39.noarch 3/7 Installing : python3-distro-1.8.0-6.fc39.noarch 4/7 Installing : dbus-libs-1:1.14.10-1.fc39.aarch64 5/7 Installing : python3-dbus-1.3.2-4.fc39.aarch64 6/7 Installing : python3-dnf-plugins-core-4.6.0-1.fc39.noarch 7/7 Running scriptlet: python3-dnf-plugins-core-4.6.0-1.fc39.noarch 7/7 Verifying : dbus-libs-1:1.14.10-1.fc39.aarch64 1/7 Verifying : python3-dateutil-1:2.8.2-10.fc39.noarch 2/7 Verifying : python3-dbus-1.3.2-4.fc39.aarch64 3/7 Verifying : python3-distro-1.8.0-6.fc39.noarch 4/7 Verifying : python3-six-1.16.0-12.fc39.noarch 5/7 Verifying : python3-systemd-235-5.fc39.aarch64 6/7 Verifying : python3-dnf-plugins-core-4.6.0-1.fc39.noarch 7/7 Installed: dbus-libs-1:1.14.10-1.fc39.aarch64 python3-dateutil-1:2.8.2-10.fc39.noarch python3-dbus-1.3.2-4.fc39.aarch64 python3-distro-1.8.0-6.fc39.noarch python3-dnf-plugins-core-4.6.0-1.fc39.noarch python3-six-1.16.0-12.fc39.noarch python3-systemd-235-5.fc39.aarch64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-1714525352.459299/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.6.0-1.fc39.aarch64 python3-dnf-4.19.2-1.fc39.noarch python3-dnf-plugins-core-4.6.0-1.fc39.noarch yum-4.19.2-1.fc39.noarch Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 21 MB/s | 1.2 MB 00:00 Additional repo copr_rezso_ML 23 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_CUDA 1.4 MB/s | 61 kB 00:00 Additional repo http_developer_download_nvidia_ 174 MB/s | 3.4 MB 00:00 Additional repo http_developer_download_nvidia_ 134 MB/s | 2.0 MB 00:00 Additional repo http_developer_download_nvidia_ 132 MB/s | 1.8 MB 00:00 fedora 48 MB/s | 86 MB 00:01 updates 48 MB/s | 34 MB 00:00 Dependencies resolved. ========================================================================================= Package Arch Version Repository Size ========================================================================================= Installing group/module packages: bash aarch64 5.2.26-1.fc39 updates 1.8 M bzip2 aarch64 1.0.8-16.fc39 fedora 52 k coreutils aarch64 9.3-5.fc39 updates 1.2 M cpio aarch64 2.14-4.fc39 fedora 277 k diffutils aarch64 3.10-3.fc39 fedora 396 k fedora-release-common noarch 39-36 updates 19 k findutils aarch64 1:4.9.0-5.fc39 fedora 495 k gawk aarch64 5.2.2-2.fc39 fedora 1.1 M glibc-minimal-langpack aarch64 2.38-99.fc39 copr_rezso_ML 67 k grep aarch64 3.11-3.fc39 fedora 295 k gzip aarch64 1.12-6.fc39 fedora 164 k info aarch64 7.0.3-3.fc39 fedora 179 k patch aarch64 2.7.6-22.fc39 fedora 123 k redhat-rpm-config noarch 266-1.fc39 updates 78 k rpm-build aarch64 4.19.1.1-1.fc39 updates 79 k sed aarch64 4.8-14.fc39 fedora 304 k shadow-utils aarch64 2:4.14.0-2.fc39 updates 1.3 M tar aarch64 2:1.35-2.fc39 fedora 854 k unzip aarch64 6.0-62.fc39 fedora 183 k util-linux aarch64 2.39.4-1.fc39 updates 1.2 M which aarch64 2.21-40.fc39 fedora 42 k xz aarch64 5.4.4-1.fc39 fedora 556 k Installing dependencies: alternatives aarch64 1.26-1.fc39 updates 38 k ansible-srpm-macros noarch 1-12.fc39 updates 21 k audit-libs aarch64 3.1.2-8.fc39 updates 118 k authselect aarch64 1.4.3-1.fc39 fedora 150 k authselect-libs aarch64 1.4.3-1.fc39 fedora 249 k basesystem noarch 11-18.fc39 fedora 7.2 k binutils aarch64 2.40-14.fc39 updates 6.1 M binutils-gold aarch64 2.40-14.fc39 updates 945 k bzip2-libs aarch64 1.0.8-16.fc39 fedora 43 k ca-certificates noarch 2023.2.60_v7.0.306-2.fc39 fedora 837 k coreutils-common aarch64 9.3-5.fc39 updates 2.1 M cracklib aarch64 2.9.11-2.fc39 fedora 94 k crypto-policies noarch 20231204-1.git1e3a2e4.fc39 updates 100 k curl aarch64 8.2.1-5.fc39 updates 340 k cyrus-sasl-lib aarch64 2.1.28-11.fc39 fedora 781 k debugedit aarch64 5.0-12.fc39 updates 78 k dwz aarch64 0.15-3.fc39 fedora 136 k ed aarch64 1.19-4.fc39 fedora 78 k efi-srpm-macros noarch 5-9.fc39 fedora 22 k elfutils aarch64 0.191-2.fc39 updates 560 k elfutils-debuginfod-client aarch64 0.191-2.fc39 updates 38 k elfutils-default-yama-scope noarch 0.191-2.fc39 updates 13 k elfutils-libelf aarch64 0.191-2.fc39 updates 209 k elfutils-libs aarch64 0.191-2.fc39 updates 263 k fedora-gpg-keys noarch 39-1 fedora 130 k fedora-release noarch 39-36 updates 8.6 k fedora-release-identity-basic noarch 39-36 updates 9.4 k fedora-repos noarch 39-1 fedora 9.3 k file aarch64 5.44-5.fc39 fedora 49 k file-libs aarch64 5.44-5.fc39 fedora 729 k filesystem aarch64 3.18-6.fc39 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-12.fc39 fedora 26 k forge-srpm-macros noarch 0.3.1-1.fc39 updates 19 k fpc-srpm-macros noarch 1.3-8.fc39 fedora 7.4 k gdb-minimal aarch64 14.2-1.fc39 updates 3.9 M gdbm-libs aarch64 1:1.23-4.fc39 fedora 56 k ghc-srpm-macros noarch 1.6.1-2.fc39 fedora 7.8 k glibc aarch64 2.38-99.fc39 copr_rezso_ML 1.7 M glibc-common aarch64 2.38-99.fc39 copr_rezso_ML 338 k glibc-gconv-extra aarch64 2.38-99.fc39 copr_rezso_ML 1.9 M gmp aarch64 1:6.2.1-5.fc39 fedora 266 k gnat-srpm-macros noarch 6-3.fc39 fedora 8.8 k go-srpm-macros noarch 3.5.0-1.fc39 updates 28 k jansson aarch64 2.13.1-7.fc39 fedora 46 k kernel-srpm-macros noarch 1.0-20.fc39 fedora 10 k keyutils-libs aarch64 1.6.3-1.fc39 updates 32 k krb5-libs aarch64 1.21.2-3.fc39 updates 770 k libacl aarch64 2.3.1-9.fc39 updates 24 k libarchive aarch64 3.7.1-1.fc39 fedora 402 k libattr aarch64 2.5.1-8.fc39 fedora 18 k libblkid aarch64 2.39.4-1.fc39 updates 116 k libbrotli aarch64 1.1.0-1.fc39 fedora 345 k libcap aarch64 2.48-9.fc39 updates 69 k libcap-ng aarch64 0.8.3-8.fc39 fedora 32 k libcom_err aarch64 1.47.0-2.fc39 fedora 26 k libcurl aarch64 8.2.1-5.fc39 updates 316 k libdb aarch64 5.3.28-56.fc39 fedora 735 k libeconf aarch64 0.5.2-2.fc39 updates 30 k libevent aarch64 2.1.12-9.fc39 fedora 254 k libfdisk aarch64 2.39.4-1.fc39 updates 157 k libffi aarch64 3.4.4-4.fc39 fedora 38 k libgcc aarch64 13.2.1-7.fc39 updates 99 k libgomp aarch64 13.2.1-7.fc39 updates 316 k libidn2 aarch64 2.3.7-1.fc39 updates 120 k libmount aarch64 2.39.4-1.fc39 updates 153 k libnghttp2 aarch64 1.55.1-5.fc39 updates 76 k libnsl2 aarch64 2.0.0-6.fc39 fedora 30 k libpkgconf aarch64 1.9.5-2.fc39 fedora 38 k libpsl aarch64 0.21.2-4.fc39 fedora 63 k libpwquality aarch64 1.4.5-6.fc39 fedora 120 k libselinux aarch64 3.5-5.fc39 fedora 86 k libsemanage aarch64 3.5-4.fc39 fedora 117 k libsepol aarch64 3.5-2.fc39 fedora 311 k libsigsegv aarch64 2.14-5.fc39 fedora 27 k libsmartcols aarch64 2.39.4-1.fc39 updates 65 k libssh aarch64 0.10.6-2.fc39 updates 213 k libssh-config noarch 0.10.6-2.fc39 updates 9.0 k libstdc++ aarch64 13.2.1-7.fc39 updates 818 k libtasn1 aarch64 4.19.0-3.fc39 fedora 73 k libtirpc aarch64 1.3.4-1.rc3.fc39 updates 94 k libunistring aarch64 1.1-5.fc39 fedora 540 k libutempter aarch64 1.2.1-10.fc39 fedora 27 k libuuid aarch64 2.39.4-1.fc39 updates 28 k libverto aarch64 0.3.2-6.fc39 fedora 21 k libxcrypt aarch64 4.4.36-2.fc39 fedora 123 k libxml2 aarch64 2.10.4-3.fc39 fedora 689 k libzstd aarch64 1.5.6-1.fc39 updates 284 k lua-libs aarch64 5.4.6-3.fc39 fedora 131 k lua-srpm-macros noarch 1-13.fc39 updates 8.7 k lz4-libs aarch64 1.9.4-4.fc39 fedora 68 k mpfr aarch64 4.2.0-3.fc39 fedora 319 k ncurses-base noarch 6.4-7.20230520.fc39.1 updates 88 k ncurses-libs aarch64 6.4-7.20230520.fc39.1 updates 326 k ocaml-srpm-macros noarch 8-2.fc39 fedora 14 k openblas-srpm-macros noarch 2-14.fc39 fedora 7.5 k openldap aarch64 2.6.6-1.fc39 fedora 251 k openssl-libs aarch64 1:3.1.1-4.fc39 fedora 2.0 M p11-kit aarch64 0.25.3-1.fc39 updates 495 k p11-kit-trust aarch64 0.25.3-1.fc39 updates 141 k package-notes-srpm-macros noarch 0.5-9.fc39 fedora 11 k pam aarch64 1.5.3-3.fc39 updates 552 k pam-libs aarch64 1.5.3-3.fc39 updates 57 k pcre2 aarch64 10.42-1.fc39.2 fedora 219 k pcre2-syntax noarch 10.42-1.fc39.2 fedora 143 k perl-srpm-macros noarch 1-51.fc39 fedora 8.0 k pkgconf aarch64 1.9.5-2.fc39 fedora 42 k pkgconf-m4 noarch 1.9.5-2.fc39 fedora 14 k pkgconf-pkg-config aarch64 1.9.5-2.fc39 fedora 9.6 k popt aarch64 1.19-3.fc39 fedora 66 k publicsuffix-list-dafsa noarch 20240107-1.fc39 updates 58 k pyproject-srpm-macros noarch 1.12.0-1.fc39 updates 14 k python-srpm-macros noarch 3.12-4.fc39 fedora 25 k qt5-srpm-macros noarch 5.15.12-1.fc39 updates 8.4 k qt6-srpm-macros noarch 6.6.2-1.fc39 updates 8.9 k readline aarch64 8.2-6.fc39 updates 212 k rpm aarch64 4.19.1.1-1.fc39 updates 536 k rpm-build-libs aarch64 4.19.1.1-1.fc39 updates 91 k rpm-libs aarch64 4.19.1.1-1.fc39 updates 305 k rpm-sequoia aarch64 1.6.0-1.fc39 updates 817 k rpmautospec-rpm-macros noarch 0.6.3-1.fc39 updates 10 k rust-srpm-macros noarch 26.2-1.fc39 updates 13 k setup noarch 2.14.4-1.fc39 fedora 154 k sqlite-libs aarch64 3.42.0-7.fc39 fedora 677 k systemd-libs aarch64 254.10-1.fc39 updates 665 k util-linux-core aarch64 2.39.4-1.fc39 updates 505 k xxhash-libs aarch64 0.8.2-1.fc39 fedora 35 k xz-libs aarch64 5.4.4-1.fc39 fedora 106 k zip aarch64 3.0-39.fc39 fedora 262 k zlib aarch64 1.2.13-4.fc39 fedora 93 k zstd aarch64 1.5.6-1.fc39 updates 445 k Installing Groups: Buildsystem building group Transaction Summary ========================================================================================= Install 152 Packages Total download size: 52 M Installed size: 302 M Downloading Packages: (1/152): glibc-2.38-99.fc39.aarch64.rpm 24 MB/s | 1.7 MB 00:00 (2/152): glibc-minimal-langpack-2.38-99.fc39.aa 648 kB/s | 67 kB 00:00 (3/152): glibc-gconv-extra-2.38-99.fc39.aarch64 11 MB/s | 1.9 MB 00:00 (4/152): authselect-libs-1.4.3-1.fc39.aarch64.r 52 MB/s | 249 kB 00:00 (5/152): authselect-1.4.3-1.fc39.aarch64.rpm 9.4 MB/s | 150 kB 00:00 (6/152): basesystem-11-18.fc39.noarch.rpm 3.1 MB/s | 7.2 kB 00:00 (7/152): bzip2-1.0.8-16.fc39.aarch64.rpm 26 MB/s | 52 kB 00:00 (8/152): bzip2-libs-1.0.8-16.fc39.aarch64.rpm 13 MB/s | 43 kB 00:00 (9/152): cpio-2.14-4.fc39.aarch64.rpm 48 MB/s | 277 kB 00:00 (10/152): glibc-common-2.38-99.fc39.aarch64.rpm 1.6 MB/s | 338 kB 00:00 (11/152): ca-certificates-2023.2.60_v7.0.306-2. 38 MB/s | 837 kB 00:00 (12/152): cyrus-sasl-lib-2.1.28-11.fc39.aarch64 56 MB/s | 781 kB 00:00 (13/152): cracklib-2.9.11-2.fc39.aarch64.rpm 5.4 MB/s | 94 kB 00:00 (14/152): dwz-0.15-3.fc39.aarch64.rpm 29 MB/s | 136 kB 00:00 (15/152): diffutils-3.10-3.fc39.aarch64.rpm 54 MB/s | 396 kB 00:00 (16/152): ed-1.19-4.fc39.aarch64.rpm 14 MB/s | 78 kB 00:00 (17/152): efi-srpm-macros-5-9.fc39.noarch.rpm 6.0 MB/s | 22 kB 00:00 (18/152): fedora-gpg-keys-39-1.noarch.rpm 38 MB/s | 130 kB 00:00 (19/152): fedora-repos-39-1.noarch.rpm 2.4 MB/s | 9.3 kB 00:00 (20/152): file-5.44-5.fc39.aarch64.rpm 17 MB/s | 49 kB 00:00 (21/152): filesystem-3.18-6.fc39.aarch64.rpm 165 MB/s | 1.1 MB 00:00 (22/152): file-libs-5.44-5.fc39.aarch64.rpm 66 MB/s | 729 kB 00:00 (23/152): fonts-srpm-macros-2.0.5-12.fc39.noarc 9.1 MB/s | 26 kB 00:00 (24/152): fpc-srpm-macros-1.3-8.fc39.noarch.rpm 4.5 MB/s | 7.4 kB 00:00 (25/152): gdbm-libs-1.23-4.fc39.aarch64.rpm 23 MB/s | 56 kB 00:00 (26/152): findutils-4.9.0-5.fc39.aarch64.rpm 31 MB/s | 495 kB 00:00 (27/152): ghc-srpm-macros-1.6.1-2.fc39.noarch.r 1.7 MB/s | 7.8 kB 00:00 (28/152): gawk-5.2.2-2.fc39.aarch64.rpm 73 MB/s | 1.1 MB 00:00 (29/152): gnat-srpm-macros-6-3.fc39.noarch.rpm 1.7 MB/s | 8.8 kB 00:00 (30/152): gmp-6.2.1-5.fc39.aarch64.rpm 29 MB/s | 266 kB 00:00 (31/152): gzip-1.12-6.fc39.aarch64.rpm 40 MB/s | 164 kB 00:00 (32/152): grep-3.11-3.fc39.aarch64.rpm 40 MB/s | 295 kB 00:00 (33/152): info-7.0.3-3.fc39.aarch64.rpm 24 MB/s | 179 kB 00:00 (34/152): jansson-2.13.1-7.fc39.aarch64.rpm 11 MB/s | 46 kB 00:00 (35/152): kernel-srpm-macros-1.0-20.fc39.noarch 3.2 MB/s | 10 kB 00:00 (36/152): libattr-2.5.1-8.fc39.aarch64.rpm 5.2 MB/s | 18 kB 00:00 (37/152): libbrotli-1.1.0-1.fc39.aarch64.rpm 72 MB/s | 345 kB 00:00 (38/152): libcap-ng-0.8.3-8.fc39.aarch64.rpm 6.7 MB/s | 32 kB 00:00 (39/152): libarchive-3.7.1-1.fc39.aarch64.rpm 37 MB/s | 402 kB 00:00 (40/152): libdb-5.3.28-56.fc39.aarch64.rpm 142 MB/s | 735 kB 00:00 (41/152): libcom_err-1.47.0-2.fc39.aarch64.rpm 4.2 MB/s | 26 kB 00:00 (42/152): libffi-3.4.4-4.fc39.aarch64.rpm 11 MB/s | 38 kB 00:00 (43/152): libevent-2.1.12-9.fc39.aarch64.rpm 35 MB/s | 254 kB 00:00 (44/152): libnsl2-2.0.0-6.fc39.aarch64.rpm 5.9 MB/s | 30 kB 00:00 (45/152): libpkgconf-1.9.5-2.fc39.aarch64.rpm 13 MB/s | 38 kB 00:00 (46/152): libpwquality-1.4.5-6.fc39.aarch64.rpm 23 MB/s | 120 kB 00:00 (47/152): libselinux-3.5-5.fc39.aarch64.rpm 13 MB/s | 86 kB 00:00 (48/152): libpsl-0.21.2-4.fc39.aarch64.rpm 7.4 MB/s | 63 kB 00:00 (49/152): libsepol-3.5-2.fc39.aarch64.rpm 46 MB/s | 311 kB 00:00 (50/152): libsigsegv-2.14-5.fc39.aarch64.rpm 4.2 MB/s | 27 kB 00:00 (51/152): libsemanage-3.5-4.fc39.aarch64.rpm 11 MB/s | 117 kB 00:00 (52/152): libtasn1-4.19.0-3.fc39.aarch64.rpm 18 MB/s | 73 kB 00:00 (53/152): libutempter-1.2.1-10.fc39.aarch64.rpm 7.8 MB/s | 27 kB 00:00 (54/152): libunistring-1.1-5.fc39.aarch64.rpm 67 MB/s | 540 kB 00:00 (55/152): libxcrypt-4.4.36-2.fc39.aarch64.rpm 24 MB/s | 123 kB 00:00 (56/152): libverto-0.3.2-6.fc39.aarch64.rpm 2.8 MB/s | 21 kB 00:00 (57/152): lua-libs-5.4.6-3.fc39.aarch64.rpm 24 MB/s | 131 kB 00:00 (58/152): lz4-libs-1.9.4-4.fc39.aarch64.rpm 14 MB/s | 68 kB 00:00 (59/152): ocaml-srpm-macros-8-2.fc39.noarch.rpm 4.9 MB/s | 14 kB 00:00 (60/152): libxml2-2.10.4-3.fc39.aarch64.rpm 51 MB/s | 689 kB 00:00 (61/152): mpfr-4.2.0-3.fc39.aarch64.rpm 43 MB/s | 319 kB 00:00 (62/152): openblas-srpm-macros-2-14.fc39.noarch 2.0 MB/s | 7.5 kB 00:00 (63/152): openldap-2.6.6-1.fc39.aarch64.rpm 85 MB/s | 251 kB 00:00 (64/152): package-notes-srpm-macros-0.5-9.fc39. 4.4 MB/s | 11 kB 00:00 (65/152): patch-2.7.6-22.fc39.aarch64.rpm 45 MB/s | 123 kB 00:00 (66/152): pcre2-10.42-1.fc39.2.aarch64.rpm 40 MB/s | 219 kB 00:00 (67/152): pcre2-syntax-10.42-1.fc39.2.noarch.rp 18 MB/s | 143 kB 00:00 (68/152): perl-srpm-macros-1-51.fc39.noarch.rpm 1.9 MB/s | 8.0 kB 00:00 (69/152): openssl-libs-3.1.1-4.fc39.aarch64.rpm 113 MB/s | 2.0 MB 00:00 (70/152): pkgconf-1.9.5-2.fc39.aarch64.rpm 8.1 MB/s | 42 kB 00:00 (71/152): pkgconf-m4-1.9.5-2.fc39.noarch.rpm 3.3 MB/s | 14 kB 00:00 (72/152): pkgconf-pkg-config-1.9.5-2.fc39.aarch 3.9 MB/s | 9.6 kB 00:00 (73/152): python-srpm-macros-3.12-4.fc39.noarch 11 MB/s | 25 kB 00:00 (74/152): popt-1.19-3.fc39.aarch64.rpm 22 MB/s | 66 kB 00:00 (75/152): sed-4.8-14.fc39.aarch64.rpm 63 MB/s | 304 kB 00:00 (76/152): setup-2.14.4-1.fc39.noarch.rpm 27 MB/s | 154 kB 00:00 (77/152): sqlite-libs-3.42.0-7.fc39.aarch64.rpm 96 MB/s | 677 kB 00:00 (78/152): unzip-6.0-62.fc39.aarch64.rpm 66 MB/s | 183 kB 00:00 (79/152): which-2.21-40.fc39.aarch64.rpm 12 MB/s | 42 kB 00:00 (80/152): tar-1.35-2.fc39.aarch64.rpm 90 MB/s | 854 kB 00:00 (81/152): xxhash-libs-0.8.2-1.fc39.aarch64.rpm 7.8 MB/s | 35 kB 00:00 (82/152): xz-5.4.4-1.fc39.aarch64.rpm 101 MB/s | 556 kB 00:00 (83/152): xz-libs-5.4.4-1.fc39.aarch64.rpm 18 MB/s | 106 kB 00:00 (84/152): zlib-1.2.13-4.fc39.aarch64.rpm 15 MB/s | 93 kB 00:00 (85/152): alternatives-1.26-1.fc39.aarch64.rpm 9.9 MB/s | 38 kB 00:00 (86/152): zip-3.0-39.fc39.aarch64.rpm 25 MB/s | 262 kB 00:00 (87/152): ansible-srpm-macros-1-12.fc39.noarch. 9.5 MB/s | 21 kB 00:00 (88/152): audit-libs-3.1.2-8.fc39.aarch64.rpm 16 MB/s | 118 kB 00:00 (89/152): bash-5.2.26-1.fc39.aarch64.rpm 113 MB/s | 1.8 MB 00:00 (90/152): binutils-gold-2.40-14.fc39.aarch64.rp 60 MB/s | 945 kB 00:00 (91/152): binutils-2.40-14.fc39.aarch64.rpm 198 MB/s | 6.1 MB 00:00 (92/152): coreutils-9.3-5.fc39.aarch64.rpm 61 MB/s | 1.2 MB 00:00 (93/152): coreutils-common-9.3-5.fc39.aarch64.r 135 MB/s | 2.1 MB 00:00 (94/152): crypto-policies-20231204-1.git1e3a2e4 18 MB/s | 100 kB 00:00 (95/152): curl-8.2.1-5.fc39.aarch64.rpm 81 MB/s | 340 kB 00:00 (96/152): debugedit-5.0-12.fc39.aarch64.rpm 22 MB/s | 78 kB 00:00 (97/152): elfutils-debuginfod-client-0.191-2.fc 13 MB/s | 38 kB 00:00 (98/152): elfutils-0.191-2.fc39.aarch64.rpm 114 MB/s | 560 kB 00:00 (99/152): elfutils-default-yama-scope-0.191-2.f 5.0 MB/s | 13 kB 00:00 (100/152): elfutils-libelf-0.191-2.fc39.aarch64 56 MB/s | 209 kB 00:00 (101/152): elfutils-libs-0.191-2.fc39.aarch64.r 69 MB/s | 263 kB 00:00 (102/152): fedora-release-39-36.noarch.rpm 2.4 MB/s | 8.6 kB 00:00 (103/152): fedora-release-common-39-36.noarch.r 10 MB/s | 19 kB 00:00 (104/152): forge-srpm-macros-0.3.1-1.fc39.noarc 9.4 MB/s | 19 kB 00:00 (105/152): go-srpm-macros-3.5.0-1.fc39.noarch.r 3.9 MB/s | 28 kB 00:00 (106/152): fedora-release-identity-basic-39-36. 744 kB/s | 9.4 kB 00:00 (107/152): gdb-minimal-14.2-1.fc39.aarch64.rpm 217 MB/s | 3.9 MB 00:00 (108/152): keyutils-libs-1.6.3-1.fc39.aarch64.r 2.8 MB/s | 32 kB 00:00 (109/152): krb5-libs-1.21.2-3.fc39.aarch64.rpm 85 MB/s | 770 kB 00:00 (110/152): libacl-2.3.1-9.fc39.aarch64.rpm 12 MB/s | 24 kB 00:00 (111/152): libblkid-2.39.4-1.fc39.aarch64.rpm 47 MB/s | 116 kB 00:00 (112/152): libcap-2.48-9.fc39.aarch64.rpm 20 MB/s | 69 kB 00:00 (113/152): libcurl-8.2.1-5.fc39.aarch64.rpm 74 MB/s | 316 kB 00:00 (114/152): libeconf-0.5.2-2.fc39.aarch64.rpm 5.4 MB/s | 30 kB 00:00 (115/152): libgcc-13.2.1-7.fc39.aarch64.rpm 24 MB/s | 99 kB 00:00 (116/152): libfdisk-2.39.4-1.fc39.aarch64.rpm 21 MB/s | 157 kB 00:00 (117/152): libidn2-2.3.7-1.fc39.aarch64.rpm 38 MB/s | 120 kB 00:00 (118/152): libgomp-13.2.1-7.fc39.aarch64.rpm 56 MB/s | 316 kB 00:00 (119/152): libmount-2.39.4-1.fc39.aarch64.rpm 45 MB/s | 153 kB 00:00 (120/152): libnghttp2-1.55.1-5.fc39.aarch64.rpm 16 MB/s | 76 kB 00:00 (121/152): libsmartcols-2.39.4-1.fc39.aarch64.r 15 MB/s | 65 kB 00:00 (122/152): libssh-0.10.6-2.fc39.aarch64.rpm 45 MB/s | 213 kB 00:00 (123/152): libssh-config-0.10.6-2.fc39.noarch.r 1.7 MB/s | 9.0 kB 00:00 (124/152): libtirpc-1.3.4-1.rc3.fc39.aarch64.rp 19 MB/s | 94 kB 00:00 (125/152): libuuid-2.39.4-1.fc39.aarch64.rpm 7.2 MB/s | 28 kB 00:00 (126/152): libzstd-1.5.6-1.fc39.aarch64.rpm 64 MB/s | 284 kB 00:00 (127/152): lua-srpm-macros-1-13.fc39.noarch.rpm 2.4 MB/s | 8.7 kB 00:00 (128/152): libstdc++-13.2.1-7.fc39.aarch64.rpm 58 MB/s | 818 kB 00:00 (129/152): ncurses-libs-6.4-7.20230520.fc39.1.a 53 MB/s | 326 kB 00:00 (130/152): ncurses-base-6.4-7.20230520.fc39.1.n 11 MB/s | 88 kB 00:00 (131/152): p11-kit-0.25.3-1.fc39.aarch64.rpm 64 MB/s | 495 kB 00:00 (132/152): p11-kit-trust-0.25.3-1.fc39.aarch64. 21 MB/s | 141 kB 00:00 (133/152): pam-1.5.3-3.fc39.aarch64.rpm 65 MB/s | 552 kB 00:00 (134/152): pam-libs-1.5.3-3.fc39.aarch64.rpm 6.5 MB/s | 57 kB 00:00 (135/152): pyproject-srpm-macros-1.12.0-1.fc39. 4.6 MB/s | 14 kB 00:00 (136/152): publicsuffix-list-dafsa-20240107-1.f 8.7 MB/s | 58 kB 00:00 (137/152): qt5-srpm-macros-5.15.12-1.fc39.noarc 3.1 MB/s | 8.4 kB 00:00 (138/152): qt6-srpm-macros-6.6.2-1.fc39.noarch. 4.0 MB/s | 8.9 kB 00:00 (139/152): readline-8.2-6.fc39.aarch64.rpm 52 MB/s | 212 kB 00:00 (140/152): redhat-rpm-config-266-1.fc39.noarch. 17 MB/s | 78 kB 00:00 (141/152): rpm-4.19.1.1-1.fc39.aarch64.rpm 78 MB/s | 536 kB 00:00 (142/152): rpm-build-libs-4.19.1.1-1.fc39.aarch 22 MB/s | 91 kB 00:00 (143/152): rpm-build-4.19.1.1-1.fc39.aarch64.rp 12 MB/s | 79 kB 00:00 (144/152): rpm-libs-4.19.1.1-1.fc39.aarch64.rpm 77 MB/s | 305 kB 00:00 (145/152): rpmautospec-rpm-macros-0.6.3-1.fc39. 2.6 MB/s | 10 kB 00:00 (146/152): rpm-sequoia-1.6.0-1.fc39.aarch64.rpm 125 MB/s | 817 kB 00:00 (147/152): rust-srpm-macros-26.2-1.fc39.noarch. 4.2 MB/s | 13 kB 00:00 (148/152): systemd-libs-254.10-1.fc39.aarch64.r 115 MB/s | 665 kB 00:00 (149/152): shadow-utils-4.14.0-2.fc39.aarch64.r 145 MB/s | 1.3 MB 00:00 (150/152): util-linux-core-2.39.4-1.fc39.aarch6 107 MB/s | 505 kB 00:00 (151/152): zstd-1.5.6-1.fc39.aarch64.rpm 54 MB/s | 445 kB 00:00 (152/152): util-linux-2.39.4-1.fc39.aarch64.rpm 76 MB/s | 1.2 MB 00:00 -------------------------------------------------------------------------------- Total 57 MB/s | 52 MB 00:00 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x18B8E74C: Userid : "Fedora (39) " Fingerprint: E8F2 3996 F232 1864 0CB4 4CBE 75CF 5AC4 18B8 E74C From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-6.fc39.aarch64 1/1 Preparing : 1/1 Installing : libgcc-13.2.1-7.fc39.aarch64 1/152 Running scriptlet: libgcc-13.2.1-7.fc39.aarch64 1/152 Installing : crypto-policies-20231204-1.git1e3a2e4.fc39.noarc 2/152 Running scriptlet: crypto-policies-20231204-1.git1e3a2e4.fc39.noarc 2/152 Installing : fedora-release-identity-basic-39-36.noarch 3/152 Installing : fedora-gpg-keys-39-1.noarch 4/152 Installing : fedora-repos-39-1.noarch 5/152 Installing : fedora-release-common-39-36.noarch 6/152 Installing : fedora-release-39-36.noarch 7/152 Installing : setup-2.14.4-1.fc39.noarch 8/152 Running scriptlet: setup-2.14.4-1.fc39.noarch 8/152 Installing : filesystem-3.18-6.fc39.aarch64 9/152 Installing : basesystem-11-18.fc39.noarch 10/152 Installing : rust-srpm-macros-26.2-1.fc39.noarch 11/152 Installing : qt6-srpm-macros-6.6.2-1.fc39.noarch 12/152 Installing : qt5-srpm-macros-5.15.12-1.fc39.noarch 13/152 Installing : publicsuffix-list-dafsa-20240107-1.fc39.noarch 14/152 Installing : ncurses-base-6.4-7.20230520.fc39.1.noarch 15/152 Installing : glibc-gconv-extra-2.38-99.fc39.aarch64 16/152 Running scriptlet: glibc-gconv-extra-2.38-99.fc39.aarch64 16/152 Installing : glibc-minimal-langpack-2.38-99.fc39.aarch64 17/152 Installing : glibc-common-2.38-99.fc39.aarch64 18/152 Running scriptlet: glibc-2.38-99.fc39.aarch64 19/152 Installing : glibc-2.38-99.fc39.aarch64 19/152 Running scriptlet: glibc-2.38-99.fc39.aarch64 19/152 Installing : ncurses-libs-6.4-7.20230520.fc39.1.aarch64 20/152 Installing : bash-5.2.26-1.fc39.aarch64 21/152 Running scriptlet: bash-5.2.26-1.fc39.aarch64 21/152 Installing : zlib-1.2.13-4.fc39.aarch64 22/152 Installing : xz-libs-5.4.4-1.fc39.aarch64 23/152 Installing : bzip2-libs-1.0.8-16.fc39.aarch64 24/152 Installing : popt-1.19-3.fc39.aarch64 25/152 Installing : libstdc++-13.2.1-7.fc39.aarch64 26/152 Installing : libuuid-2.39.4-1.fc39.aarch64 27/152 Installing : libzstd-1.5.6-1.fc39.aarch64 28/152 Installing : elfutils-libelf-0.191-2.fc39.aarch64 29/152 Installing : libblkid-2.39.4-1.fc39.aarch64 30/152 Installing : readline-8.2-6.fc39.aarch64 31/152 Installing : gmp-1:6.2.1-5.fc39.aarch64 32/152 Installing : libattr-2.5.1-8.fc39.aarch64 33/152 Installing : libacl-2.3.1-9.fc39.aarch64 34/152 Installing : libxcrypt-4.4.36-2.fc39.aarch64 35/152 Installing : libcap-2.48-9.fc39.aarch64 36/152 Installing : lz4-libs-1.9.4-4.fc39.aarch64 37/152 Installing : libeconf-0.5.2-2.fc39.aarch64 38/152 Installing : systemd-libs-254.10-1.fc39.aarch64 39/152 Installing : mpfr-4.2.0-3.fc39.aarch64 40/152 Installing : dwz-0.15-3.fc39.aarch64 41/152 Installing : unzip-6.0-62.fc39.aarch64 42/152 Installing : file-libs-5.44-5.fc39.aarch64 43/152 Installing : file-5.44-5.fc39.aarch64 44/152 Installing : jansson-2.13.1-7.fc39.aarch64 45/152 Installing : libcap-ng-0.8.3-8.fc39.aarch64 46/152 Installing : audit-libs-3.1.2-8.fc39.aarch64 47/152 Installing : pam-libs-1.5.3-3.fc39.aarch64 48/152 Installing : libcom_err-1.47.0-2.fc39.aarch64 49/152 Installing : libsepol-3.5-2.fc39.aarch64 50/152 Installing : libtasn1-4.19.0-3.fc39.aarch64 51/152 Installing : libunistring-1.1-5.fc39.aarch64 52/152 Installing : libidn2-2.3.7-1.fc39.aarch64 53/152 Installing : lua-libs-5.4.6-3.fc39.aarch64 54/152 Installing : alternatives-1.26-1.fc39.aarch64 55/152 Installing : libsmartcols-2.39.4-1.fc39.aarch64 56/152 Installing : libpsl-0.21.2-4.fc39.aarch64 57/152 Installing : zip-3.0-39.fc39.aarch64 58/152 Installing : zstd-1.5.6-1.fc39.aarch64 59/152 Installing : libfdisk-2.39.4-1.fc39.aarch64 60/152 Installing : bzip2-1.0.8-16.fc39.aarch64 61/152 Installing : libxml2-2.10.4-3.fc39.aarch64 62/152 Installing : sqlite-libs-3.42.0-7.fc39.aarch64 63/152 Installing : ed-1.19-4.fc39.aarch64 64/152 Installing : elfutils-default-yama-scope-0.191-2.fc39.noarch 65/152 Running scriptlet: elfutils-default-yama-scope-0.191-2.fc39.noarch 65/152 Installing : cpio-2.14-4.fc39.aarch64 66/152 Installing : diffutils-3.10-3.fc39.aarch64 67/152 Installing : gdbm-libs-1:1.23-4.fc39.aarch64 68/152 Installing : cyrus-sasl-lib-2.1.28-11.fc39.aarch64 69/152 Installing : libbrotli-1.1.0-1.fc39.aarch64 70/152 Installing : libdb-5.3.28-56.fc39.aarch64 71/152 Installing : libffi-3.4.4-4.fc39.aarch64 72/152 Installing : p11-kit-0.25.3-1.fc39.aarch64 73/152 Installing : p11-kit-trust-0.25.3-1.fc39.aarch64 74/152 Running scriptlet: p11-kit-trust-0.25.3-1.fc39.aarch64 74/152 Installing : libpkgconf-1.9.5-2.fc39.aarch64 75/152 Installing : pkgconf-1.9.5-2.fc39.aarch64 76/152 Installing : libsigsegv-2.14-5.fc39.aarch64 77/152 Installing : gawk-5.2.2-2.fc39.aarch64 78/152 Installing : libverto-0.3.2-6.fc39.aarch64 79/152 Installing : xxhash-libs-0.8.2-1.fc39.aarch64 80/152 Installing : keyutils-libs-1.6.3-1.fc39.aarch64 81/152 Installing : libgomp-13.2.1-7.fc39.aarch64 82/152 Installing : libnghttp2-1.55.1-5.fc39.aarch64 83/152 Installing : libssh-config-0.10.6-2.fc39.noarch 84/152 Installing : coreutils-common-9.3-5.fc39.aarch64 85/152 Installing : ansible-srpm-macros-1-12.fc39.noarch 86/152 Installing : pkgconf-m4-1.9.5-2.fc39.noarch 87/152 Installing : pkgconf-pkg-config-1.9.5-2.fc39.aarch64 88/152 Installing : perl-srpm-macros-1-51.fc39.noarch 89/152 Installing : pcre2-syntax-10.42-1.fc39.2.noarch 90/152 Installing : pcre2-10.42-1.fc39.2.aarch64 91/152 Installing : libselinux-3.5-5.fc39.aarch64 92/152 Installing : sed-4.8-14.fc39.aarch64 93/152 Installing : grep-3.11-3.fc39.aarch64 94/152 Installing : findutils-1:4.9.0-5.fc39.aarch64 95/152 Installing : xz-5.4.4-1.fc39.aarch64 96/152 Installing : libmount-2.39.4-1.fc39.aarch64 97/152 Installing : util-linux-core-2.39.4-1.fc39.aarch64 98/152 Installing : openssl-libs-1:3.1.1-4.fc39.aarch64 99/152 Installing : coreutils-9.3-5.fc39.aarch64 100/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 101/152 Installing : ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 101/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 101/152 Installing : krb5-libs-1.21.2-3.fc39.aarch64 102/152 Installing : libtirpc-1.3.4-1.rc3.fc39.aarch64 103/152 Running scriptlet: authselect-libs-1.4.3-1.fc39.aarch64 104/152 Installing : authselect-libs-1.4.3-1.fc39.aarch64 104/152 Installing : gzip-1.12-6.fc39.aarch64 105/152 Installing : libarchive-3.7.1-1.fc39.aarch64 106/152 Installing : cracklib-2.9.11-2.fc39.aarch64 107/152 Installing : libpwquality-1.4.5-6.fc39.aarch64 108/152 Installing : authselect-1.4.3-1.fc39.aarch64 109/152 Installing : libnsl2-2.0.0-6.fc39.aarch64 110/152 Installing : pam-1.5.3-3.fc39.aarch64 111/152 Installing : libssh-0.10.6-2.fc39.aarch64 112/152 Installing : libevent-2.1.12-9.fc39.aarch64 113/152 Installing : openldap-2.6.6-1.fc39.aarch64 114/152 Installing : libcurl-8.2.1-5.fc39.aarch64 115/152 Installing : elfutils-libs-0.191-2.fc39.aarch64 116/152 Installing : elfutils-debuginfod-client-0.191-2.fc39.aarch64 117/152 Installing : binutils-gold-2.40-14.fc39.aarch64 118/152 Running scriptlet: binutils-gold-2.40-14.fc39.aarch64 118/152 Installing : binutils-2.40-14.fc39.aarch64 119/152 Running scriptlet: binutils-2.40-14.fc39.aarch64 119/152 Installing : elfutils-0.191-2.fc39.aarch64 120/152 Installing : gdb-minimal-14.2-1.fc39.aarch64 121/152 Installing : debugedit-5.0-12.fc39.aarch64 122/152 Installing : curl-8.2.1-5.fc39.aarch64 123/152 Installing : rpm-sequoia-1.6.0-1.fc39.aarch64 124/152 Installing : rpm-libs-4.19.1.1-1.fc39.aarch64 125/152 Running scriptlet: rpm-4.19.1.1-1.fc39.aarch64 126/152 Installing : rpm-4.19.1.1-1.fc39.aarch64 126/152 Installing : efi-srpm-macros-5-9.fc39.noarch 127/152 Installing : lua-srpm-macros-1-13.fc39.noarch 128/152 Installing : rpmautospec-rpm-macros-0.6.3-1.fc39.noarch 129/152 Installing : rpm-build-libs-4.19.1.1-1.fc39.aarch64 130/152 Installing : libsemanage-3.5-4.fc39.aarch64 131/152 Installing : shadow-utils-2:4.14.0-2.fc39.aarch64 132/152 Running scriptlet: libutempter-1.2.1-10.fc39.aarch64 133/152 Installing : libutempter-1.2.1-10.fc39.aarch64 133/152 Installing : patch-2.7.6-22.fc39.aarch64 134/152 Installing : tar-2:1.35-2.fc39.aarch64 135/152 Installing : package-notes-srpm-macros-0.5-9.fc39.noarch 136/152 Installing : openblas-srpm-macros-2-14.fc39.noarch 137/152 Installing : ocaml-srpm-macros-8-2.fc39.noarch 138/152 Installing : kernel-srpm-macros-1.0-20.fc39.noarch 139/152 Installing : gnat-srpm-macros-6-3.fc39.noarch 140/152 Installing : ghc-srpm-macros-1.6.1-2.fc39.noarch 141/152 Installing : fpc-srpm-macros-1.3-8.fc39.noarch 142/152 Installing : fonts-srpm-macros-1:2.0.5-12.fc39.noarch 143/152 Installing : python-srpm-macros-3.12-4.fc39.noarch 144/152 Installing : forge-srpm-macros-0.3.1-1.fc39.noarch 145/152 Installing : go-srpm-macros-3.5.0-1.fc39.noarch 146/152 Installing : redhat-rpm-config-266-1.fc39.noarch 147/152 Installing : rpm-build-4.19.1.1-1.fc39.aarch64 148/152 Installing : pyproject-srpm-macros-1.12.0-1.fc39.noarch 149/152 Installing : util-linux-2.39.4-1.fc39.aarch64 150/152 Running scriptlet: util-linux-2.39.4-1.fc39.aarch64 150/152 Installing : which-2.21-40.fc39.aarch64 151/152 Installing : info-7.0.3-3.fc39.aarch64 152/152 Running scriptlet: filesystem-3.18-6.fc39.aarch64 152/152 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 152/152 Running scriptlet: authselect-libs-1.4.3-1.fc39.aarch64 152/152 Running scriptlet: rpm-4.19.1.1-1.fc39.aarch64 152/152 Running scriptlet: info-7.0.3-3.fc39.aarch64 152/152 Verifying : glibc-2.38-99.fc39.aarch64 1/152 Verifying : glibc-common-2.38-99.fc39.aarch64 2/152 Verifying : glibc-gconv-extra-2.38-99.fc39.aarch64 3/152 Verifying : glibc-minimal-langpack-2.38-99.fc39.aarch64 4/152 Verifying : authselect-1.4.3-1.fc39.aarch64 5/152 Verifying : authselect-libs-1.4.3-1.fc39.aarch64 6/152 Verifying : basesystem-11-18.fc39.noarch 7/152 Verifying : bzip2-1.0.8-16.fc39.aarch64 8/152 Verifying : bzip2-libs-1.0.8-16.fc39.aarch64 9/152 Verifying : ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 10/152 Verifying : cpio-2.14-4.fc39.aarch64 11/152 Verifying : cracklib-2.9.11-2.fc39.aarch64 12/152 Verifying : cyrus-sasl-lib-2.1.28-11.fc39.aarch64 13/152 Verifying : diffutils-3.10-3.fc39.aarch64 14/152 Verifying : dwz-0.15-3.fc39.aarch64 15/152 Verifying : ed-1.19-4.fc39.aarch64 16/152 Verifying : efi-srpm-macros-5-9.fc39.noarch 17/152 Verifying : fedora-gpg-keys-39-1.noarch 18/152 Verifying : fedora-repos-39-1.noarch 19/152 Verifying : file-5.44-5.fc39.aarch64 20/152 Verifying : file-libs-5.44-5.fc39.aarch64 21/152 Verifying : filesystem-3.18-6.fc39.aarch64 22/152 Verifying : findutils-1:4.9.0-5.fc39.aarch64 23/152 Verifying : fonts-srpm-macros-1:2.0.5-12.fc39.noarch 24/152 Verifying : fpc-srpm-macros-1.3-8.fc39.noarch 25/152 Verifying : gawk-5.2.2-2.fc39.aarch64 26/152 Verifying : gdbm-libs-1:1.23-4.fc39.aarch64 27/152 Verifying : ghc-srpm-macros-1.6.1-2.fc39.noarch 28/152 Verifying : gmp-1:6.2.1-5.fc39.aarch64 29/152 Verifying : gnat-srpm-macros-6-3.fc39.noarch 30/152 Verifying : grep-3.11-3.fc39.aarch64 31/152 Verifying : gzip-1.12-6.fc39.aarch64 32/152 Verifying : info-7.0.3-3.fc39.aarch64 33/152 Verifying : jansson-2.13.1-7.fc39.aarch64 34/152 Verifying : kernel-srpm-macros-1.0-20.fc39.noarch 35/152 Verifying : libarchive-3.7.1-1.fc39.aarch64 36/152 Verifying : libattr-2.5.1-8.fc39.aarch64 37/152 Verifying : libbrotli-1.1.0-1.fc39.aarch64 38/152 Verifying : libcap-ng-0.8.3-8.fc39.aarch64 39/152 Verifying : libcom_err-1.47.0-2.fc39.aarch64 40/152 Verifying : libdb-5.3.28-56.fc39.aarch64 41/152 Verifying : libevent-2.1.12-9.fc39.aarch64 42/152 Verifying : libffi-3.4.4-4.fc39.aarch64 43/152 Verifying : libnsl2-2.0.0-6.fc39.aarch64 44/152 Verifying : libpkgconf-1.9.5-2.fc39.aarch64 45/152 Verifying : libpsl-0.21.2-4.fc39.aarch64 46/152 Verifying : libpwquality-1.4.5-6.fc39.aarch64 47/152 Verifying : libselinux-3.5-5.fc39.aarch64 48/152 Verifying : libsemanage-3.5-4.fc39.aarch64 49/152 Verifying : libsepol-3.5-2.fc39.aarch64 50/152 Verifying : libsigsegv-2.14-5.fc39.aarch64 51/152 Verifying : libtasn1-4.19.0-3.fc39.aarch64 52/152 Verifying : libunistring-1.1-5.fc39.aarch64 53/152 Verifying : libutempter-1.2.1-10.fc39.aarch64 54/152 Verifying : libverto-0.3.2-6.fc39.aarch64 55/152 Verifying : libxcrypt-4.4.36-2.fc39.aarch64 56/152 Verifying : libxml2-2.10.4-3.fc39.aarch64 57/152 Verifying : lua-libs-5.4.6-3.fc39.aarch64 58/152 Verifying : lz4-libs-1.9.4-4.fc39.aarch64 59/152 Verifying : mpfr-4.2.0-3.fc39.aarch64 60/152 Verifying : ocaml-srpm-macros-8-2.fc39.noarch 61/152 Verifying : openblas-srpm-macros-2-14.fc39.noarch 62/152 Verifying : openldap-2.6.6-1.fc39.aarch64 63/152 Verifying : openssl-libs-1:3.1.1-4.fc39.aarch64 64/152 Verifying : package-notes-srpm-macros-0.5-9.fc39.noarch 65/152 Verifying : patch-2.7.6-22.fc39.aarch64 66/152 Verifying : pcre2-10.42-1.fc39.2.aarch64 67/152 Verifying : pcre2-syntax-10.42-1.fc39.2.noarch 68/152 Verifying : perl-srpm-macros-1-51.fc39.noarch 69/152 Verifying : pkgconf-1.9.5-2.fc39.aarch64 70/152 Verifying : pkgconf-m4-1.9.5-2.fc39.noarch 71/152 Verifying : pkgconf-pkg-config-1.9.5-2.fc39.aarch64 72/152 Verifying : popt-1.19-3.fc39.aarch64 73/152 Verifying : python-srpm-macros-3.12-4.fc39.noarch 74/152 Verifying : sed-4.8-14.fc39.aarch64 75/152 Verifying : setup-2.14.4-1.fc39.noarch 76/152 Verifying : sqlite-libs-3.42.0-7.fc39.aarch64 77/152 Verifying : tar-2:1.35-2.fc39.aarch64 78/152 Verifying : unzip-6.0-62.fc39.aarch64 79/152 Verifying : which-2.21-40.fc39.aarch64 80/152 Verifying : xxhash-libs-0.8.2-1.fc39.aarch64 81/152 Verifying : xz-5.4.4-1.fc39.aarch64 82/152 Verifying : xz-libs-5.4.4-1.fc39.aarch64 83/152 Verifying : zip-3.0-39.fc39.aarch64 84/152 Verifying : zlib-1.2.13-4.fc39.aarch64 85/152 Verifying : alternatives-1.26-1.fc39.aarch64 86/152 Verifying : ansible-srpm-macros-1-12.fc39.noarch 87/152 Verifying : audit-libs-3.1.2-8.fc39.aarch64 88/152 Verifying : bash-5.2.26-1.fc39.aarch64 89/152 Verifying : binutils-2.40-14.fc39.aarch64 90/152 Verifying : binutils-gold-2.40-14.fc39.aarch64 91/152 Verifying : coreutils-9.3-5.fc39.aarch64 92/152 Verifying : coreutils-common-9.3-5.fc39.aarch64 93/152 Verifying : crypto-policies-20231204-1.git1e3a2e4.fc39.noarc 94/152 Verifying : curl-8.2.1-5.fc39.aarch64 95/152 Verifying : debugedit-5.0-12.fc39.aarch64 96/152 Verifying : elfutils-0.191-2.fc39.aarch64 97/152 Verifying : elfutils-debuginfod-client-0.191-2.fc39.aarch64 98/152 Verifying : elfutils-default-yama-scope-0.191-2.fc39.noarch 99/152 Verifying : elfutils-libelf-0.191-2.fc39.aarch64 100/152 Verifying : elfutils-libs-0.191-2.fc39.aarch64 101/152 Verifying : fedora-release-39-36.noarch 102/152 Verifying : fedora-release-common-39-36.noarch 103/152 Verifying : fedora-release-identity-basic-39-36.noarch 104/152 Verifying : forge-srpm-macros-0.3.1-1.fc39.noarch 105/152 Verifying : gdb-minimal-14.2-1.fc39.aarch64 106/152 Verifying : go-srpm-macros-3.5.0-1.fc39.noarch 107/152 Verifying : keyutils-libs-1.6.3-1.fc39.aarch64 108/152 Verifying : krb5-libs-1.21.2-3.fc39.aarch64 109/152 Verifying : libacl-2.3.1-9.fc39.aarch64 110/152 Verifying : libblkid-2.39.4-1.fc39.aarch64 111/152 Verifying : libcap-2.48-9.fc39.aarch64 112/152 Verifying : libcurl-8.2.1-5.fc39.aarch64 113/152 Verifying : libeconf-0.5.2-2.fc39.aarch64 114/152 Verifying : libfdisk-2.39.4-1.fc39.aarch64 115/152 Verifying : libgcc-13.2.1-7.fc39.aarch64 116/152 Verifying : libgomp-13.2.1-7.fc39.aarch64 117/152 Verifying : libidn2-2.3.7-1.fc39.aarch64 118/152 Verifying : libmount-2.39.4-1.fc39.aarch64 119/152 Verifying : libnghttp2-1.55.1-5.fc39.aarch64 120/152 Verifying : libsmartcols-2.39.4-1.fc39.aarch64 121/152 Verifying : libssh-0.10.6-2.fc39.aarch64 122/152 Verifying : libssh-config-0.10.6-2.fc39.noarch 123/152 Verifying : libstdc++-13.2.1-7.fc39.aarch64 124/152 Verifying : libtirpc-1.3.4-1.rc3.fc39.aarch64 125/152 Verifying : libuuid-2.39.4-1.fc39.aarch64 126/152 Verifying : libzstd-1.5.6-1.fc39.aarch64 127/152 Verifying : lua-srpm-macros-1-13.fc39.noarch 128/152 Verifying : ncurses-base-6.4-7.20230520.fc39.1.noarch 129/152 Verifying : ncurses-libs-6.4-7.20230520.fc39.1.aarch64 130/152 Verifying : p11-kit-0.25.3-1.fc39.aarch64 131/152 Verifying : p11-kit-trust-0.25.3-1.fc39.aarch64 132/152 Verifying : pam-1.5.3-3.fc39.aarch64 133/152 Verifying : pam-libs-1.5.3-3.fc39.aarch64 134/152 Verifying : publicsuffix-list-dafsa-20240107-1.fc39.noarch 135/152 Verifying : pyproject-srpm-macros-1.12.0-1.fc39.noarch 136/152 Verifying : qt5-srpm-macros-5.15.12-1.fc39.noarch 137/152 Verifying : qt6-srpm-macros-6.6.2-1.fc39.noarch 138/152 Verifying : readline-8.2-6.fc39.aarch64 139/152 Verifying : redhat-rpm-config-266-1.fc39.noarch 140/152 Verifying : rpm-4.19.1.1-1.fc39.aarch64 141/152 Verifying : rpm-build-4.19.1.1-1.fc39.aarch64 142/152 Verifying : rpm-build-libs-4.19.1.1-1.fc39.aarch64 143/152 Verifying : rpm-libs-4.19.1.1-1.fc39.aarch64 144/152 Verifying : rpm-sequoia-1.6.0-1.fc39.aarch64 145/152 Verifying : rpmautospec-rpm-macros-0.6.3-1.fc39.noarch 146/152 Verifying : rust-srpm-macros-26.2-1.fc39.noarch 147/152 Verifying : shadow-utils-2:4.14.0-2.fc39.aarch64 148/152 Verifying : systemd-libs-254.10-1.fc39.aarch64 149/152 Verifying : util-linux-2.39.4-1.fc39.aarch64 150/152 Verifying : util-linux-core-2.39.4-1.fc39.aarch64 151/152 Verifying : zstd-1.5.6-1.fc39.aarch64 152/152 Installed: alternatives-1.26-1.fc39.aarch64 ansible-srpm-macros-1-12.fc39.noarch audit-libs-3.1.2-8.fc39.aarch64 authselect-1.4.3-1.fc39.aarch64 authselect-libs-1.4.3-1.fc39.aarch64 basesystem-11-18.fc39.noarch bash-5.2.26-1.fc39.aarch64 binutils-2.40-14.fc39.aarch64 binutils-gold-2.40-14.fc39.aarch64 bzip2-1.0.8-16.fc39.aarch64 bzip2-libs-1.0.8-16.fc39.aarch64 ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch coreutils-9.3-5.fc39.aarch64 coreutils-common-9.3-5.fc39.aarch64 cpio-2.14-4.fc39.aarch64 cracklib-2.9.11-2.fc39.aarch64 crypto-policies-20231204-1.git1e3a2e4.fc39.noarch curl-8.2.1-5.fc39.aarch64 cyrus-sasl-lib-2.1.28-11.fc39.aarch64 debugedit-5.0-12.fc39.aarch64 diffutils-3.10-3.fc39.aarch64 dwz-0.15-3.fc39.aarch64 ed-1.19-4.fc39.aarch64 efi-srpm-macros-5-9.fc39.noarch elfutils-0.191-2.fc39.aarch64 elfutils-debuginfod-client-0.191-2.fc39.aarch64 elfutils-default-yama-scope-0.191-2.fc39.noarch elfutils-libelf-0.191-2.fc39.aarch64 elfutils-libs-0.191-2.fc39.aarch64 fedora-gpg-keys-39-1.noarch fedora-release-39-36.noarch fedora-release-common-39-36.noarch fedora-release-identity-basic-39-36.noarch fedora-repos-39-1.noarch file-5.44-5.fc39.aarch64 file-libs-5.44-5.fc39.aarch64 filesystem-3.18-6.fc39.aarch64 findutils-1:4.9.0-5.fc39.aarch64 fonts-srpm-macros-1:2.0.5-12.fc39.noarch forge-srpm-macros-0.3.1-1.fc39.noarch fpc-srpm-macros-1.3-8.fc39.noarch gawk-5.2.2-2.fc39.aarch64 gdb-minimal-14.2-1.fc39.aarch64 gdbm-libs-1:1.23-4.fc39.aarch64 ghc-srpm-macros-1.6.1-2.fc39.noarch glibc-2.38-99.fc39.aarch64 glibc-common-2.38-99.fc39.aarch64 glibc-gconv-extra-2.38-99.fc39.aarch64 glibc-minimal-langpack-2.38-99.fc39.aarch64 gmp-1:6.2.1-5.fc39.aarch64 gnat-srpm-macros-6-3.fc39.noarch go-srpm-macros-3.5.0-1.fc39.noarch grep-3.11-3.fc39.aarch64 gzip-1.12-6.fc39.aarch64 info-7.0.3-3.fc39.aarch64 jansson-2.13.1-7.fc39.aarch64 kernel-srpm-macros-1.0-20.fc39.noarch keyutils-libs-1.6.3-1.fc39.aarch64 krb5-libs-1.21.2-3.fc39.aarch64 libacl-2.3.1-9.fc39.aarch64 libarchive-3.7.1-1.fc39.aarch64 libattr-2.5.1-8.fc39.aarch64 libblkid-2.39.4-1.fc39.aarch64 libbrotli-1.1.0-1.fc39.aarch64 libcap-2.48-9.fc39.aarch64 libcap-ng-0.8.3-8.fc39.aarch64 libcom_err-1.47.0-2.fc39.aarch64 libcurl-8.2.1-5.fc39.aarch64 libdb-5.3.28-56.fc39.aarch64 libeconf-0.5.2-2.fc39.aarch64 libevent-2.1.12-9.fc39.aarch64 libfdisk-2.39.4-1.fc39.aarch64 libffi-3.4.4-4.fc39.aarch64 libgcc-13.2.1-7.fc39.aarch64 libgomp-13.2.1-7.fc39.aarch64 libidn2-2.3.7-1.fc39.aarch64 libmount-2.39.4-1.fc39.aarch64 libnghttp2-1.55.1-5.fc39.aarch64 libnsl2-2.0.0-6.fc39.aarch64 libpkgconf-1.9.5-2.fc39.aarch64 libpsl-0.21.2-4.fc39.aarch64 libpwquality-1.4.5-6.fc39.aarch64 libselinux-3.5-5.fc39.aarch64 libsemanage-3.5-4.fc39.aarch64 libsepol-3.5-2.fc39.aarch64 libsigsegv-2.14-5.fc39.aarch64 libsmartcols-2.39.4-1.fc39.aarch64 libssh-0.10.6-2.fc39.aarch64 libssh-config-0.10.6-2.fc39.noarch libstdc++-13.2.1-7.fc39.aarch64 libtasn1-4.19.0-3.fc39.aarch64 libtirpc-1.3.4-1.rc3.fc39.aarch64 libunistring-1.1-5.fc39.aarch64 libutempter-1.2.1-10.fc39.aarch64 libuuid-2.39.4-1.fc39.aarch64 libverto-0.3.2-6.fc39.aarch64 libxcrypt-4.4.36-2.fc39.aarch64 libxml2-2.10.4-3.fc39.aarch64 libzstd-1.5.6-1.fc39.aarch64 lua-libs-5.4.6-3.fc39.aarch64 lua-srpm-macros-1-13.fc39.noarch lz4-libs-1.9.4-4.fc39.aarch64 mpfr-4.2.0-3.fc39.aarch64 ncurses-base-6.4-7.20230520.fc39.1.noarch ncurses-libs-6.4-7.20230520.fc39.1.aarch64 ocaml-srpm-macros-8-2.fc39.noarch openblas-srpm-macros-2-14.fc39.noarch openldap-2.6.6-1.fc39.aarch64 openssl-libs-1:3.1.1-4.fc39.aarch64 p11-kit-0.25.3-1.fc39.aarch64 p11-kit-trust-0.25.3-1.fc39.aarch64 package-notes-srpm-macros-0.5-9.fc39.noarch pam-1.5.3-3.fc39.aarch64 pam-libs-1.5.3-3.fc39.aarch64 patch-2.7.6-22.fc39.aarch64 pcre2-10.42-1.fc39.2.aarch64 pcre2-syntax-10.42-1.fc39.2.noarch perl-srpm-macros-1-51.fc39.noarch pkgconf-1.9.5-2.fc39.aarch64 pkgconf-m4-1.9.5-2.fc39.noarch pkgconf-pkg-config-1.9.5-2.fc39.aarch64 popt-1.19-3.fc39.aarch64 publicsuffix-list-dafsa-20240107-1.fc39.noarch pyproject-srpm-macros-1.12.0-1.fc39.noarch python-srpm-macros-3.12-4.fc39.noarch qt5-srpm-macros-5.15.12-1.fc39.noarch qt6-srpm-macros-6.6.2-1.fc39.noarch readline-8.2-6.fc39.aarch64 redhat-rpm-config-266-1.fc39.noarch rpm-4.19.1.1-1.fc39.aarch64 rpm-build-4.19.1.1-1.fc39.aarch64 rpm-build-libs-4.19.1.1-1.fc39.aarch64 rpm-libs-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.6.0-1.fc39.aarch64 rpmautospec-rpm-macros-0.6.3-1.fc39.noarch rust-srpm-macros-26.2-1.fc39.noarch sed-4.8-14.fc39.aarch64 setup-2.14.4-1.fc39.noarch shadow-utils-2:4.14.0-2.fc39.aarch64 sqlite-libs-3.42.0-7.fc39.aarch64 systemd-libs-254.10-1.fc39.aarch64 tar-2:1.35-2.fc39.aarch64 unzip-6.0-62.fc39.aarch64 util-linux-2.39.4-1.fc39.aarch64 util-linux-core-2.39.4-1.fc39.aarch64 which-2.21-40.fc39.aarch64 xxhash-libs-0.8.2-1.fc39.aarch64 xz-5.4.4-1.fc39.aarch64 xz-libs-5.4.4-1.fc39.aarch64 zip-3.0-39.fc39.aarch64 zlib-1.2.13-4.fc39.aarch64 zstd-1.5.6-1.fc39.aarch64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.26-1.fc39.aarch64 ansible-srpm-macros-1-12.fc39.noarch audit-libs-3.1.2-8.fc39.aarch64 authselect-1.4.3-1.fc39.aarch64 authselect-libs-1.4.3-1.fc39.aarch64 basesystem-11-18.fc39.noarch bash-5.2.26-1.fc39.aarch64 binutils-2.40-14.fc39.aarch64 binutils-gold-2.40-14.fc39.aarch64 bzip2-1.0.8-16.fc39.aarch64 bzip2-libs-1.0.8-16.fc39.aarch64 ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch coreutils-9.3-5.fc39.aarch64 coreutils-common-9.3-5.fc39.aarch64 cpio-2.14-4.fc39.aarch64 cracklib-2.9.11-2.fc39.aarch64 crypto-policies-20231204-1.git1e3a2e4.fc39.noarch curl-8.2.1-5.fc39.aarch64 cyrus-sasl-lib-2.1.28-11.fc39.aarch64 debugedit-5.0-12.fc39.aarch64 diffutils-3.10-3.fc39.aarch64 dwz-0.15-3.fc39.aarch64 ed-1.19-4.fc39.aarch64 efi-srpm-macros-5-9.fc39.noarch elfutils-0.191-2.fc39.aarch64 elfutils-debuginfod-client-0.191-2.fc39.aarch64 elfutils-default-yama-scope-0.191-2.fc39.noarch elfutils-libelf-0.191-2.fc39.aarch64 elfutils-libs-0.191-2.fc39.aarch64 fedora-gpg-keys-39-1.noarch fedora-release-39-36.noarch fedora-release-common-39-36.noarch fedora-release-identity-basic-39-36.noarch fedora-repos-39-1.noarch file-5.44-5.fc39.aarch64 file-libs-5.44-5.fc39.aarch64 filesystem-3.18-6.fc39.aarch64 findutils-4.9.0-5.fc39.aarch64 fonts-srpm-macros-2.0.5-12.fc39.noarch forge-srpm-macros-0.3.1-1.fc39.noarch fpc-srpm-macros-1.3-8.fc39.noarch gawk-5.2.2-2.fc39.aarch64 gdb-minimal-14.2-1.fc39.aarch64 gdbm-libs-1.23-4.fc39.aarch64 ghc-srpm-macros-1.6.1-2.fc39.noarch glibc-2.38-99.fc39.aarch64 glibc-common-2.38-99.fc39.aarch64 glibc-gconv-extra-2.38-99.fc39.aarch64 glibc-minimal-langpack-2.38-99.fc39.aarch64 gmp-6.2.1-5.fc39.aarch64 gnat-srpm-macros-6-3.fc39.noarch go-srpm-macros-3.5.0-1.fc39.noarch gpg-pubkey-18b8e74c-62f2920f grep-3.11-3.fc39.aarch64 gzip-1.12-6.fc39.aarch64 info-7.0.3-3.fc39.aarch64 jansson-2.13.1-7.fc39.aarch64 kernel-srpm-macros-1.0-20.fc39.noarch keyutils-libs-1.6.3-1.fc39.aarch64 krb5-libs-1.21.2-3.fc39.aarch64 libacl-2.3.1-9.fc39.aarch64 libarchive-3.7.1-1.fc39.aarch64 libattr-2.5.1-8.fc39.aarch64 libblkid-2.39.4-1.fc39.aarch64 libbrotli-1.1.0-1.fc39.aarch64 libcap-2.48-9.fc39.aarch64 libcap-ng-0.8.3-8.fc39.aarch64 libcom_err-1.47.0-2.fc39.aarch64 libcurl-8.2.1-5.fc39.aarch64 libdb-5.3.28-56.fc39.aarch64 libeconf-0.5.2-2.fc39.aarch64 libevent-2.1.12-9.fc39.aarch64 libfdisk-2.39.4-1.fc39.aarch64 libffi-3.4.4-4.fc39.aarch64 libgcc-13.2.1-7.fc39.aarch64 libgomp-13.2.1-7.fc39.aarch64 libidn2-2.3.7-1.fc39.aarch64 libmount-2.39.4-1.fc39.aarch64 libnghttp2-1.55.1-5.fc39.aarch64 libnsl2-2.0.0-6.fc39.aarch64 libpkgconf-1.9.5-2.fc39.aarch64 libpsl-0.21.2-4.fc39.aarch64 libpwquality-1.4.5-6.fc39.aarch64 libselinux-3.5-5.fc39.aarch64 libsemanage-3.5-4.fc39.aarch64 libsepol-3.5-2.fc39.aarch64 libsigsegv-2.14-5.fc39.aarch64 libsmartcols-2.39.4-1.fc39.aarch64 libssh-0.10.6-2.fc39.aarch64 libssh-config-0.10.6-2.fc39.noarch libstdc++-13.2.1-7.fc39.aarch64 libtasn1-4.19.0-3.fc39.aarch64 libtirpc-1.3.4-1.rc3.fc39.aarch64 libunistring-1.1-5.fc39.aarch64 libutempter-1.2.1-10.fc39.aarch64 libuuid-2.39.4-1.fc39.aarch64 libverto-0.3.2-6.fc39.aarch64 libxcrypt-4.4.36-2.fc39.aarch64 libxml2-2.10.4-3.fc39.aarch64 libzstd-1.5.6-1.fc39.aarch64 lua-libs-5.4.6-3.fc39.aarch64 lua-srpm-macros-1-13.fc39.noarch lz4-libs-1.9.4-4.fc39.aarch64 mpfr-4.2.0-3.fc39.aarch64 ncurses-base-6.4-7.20230520.fc39.1.noarch ncurses-libs-6.4-7.20230520.fc39.1.aarch64 ocaml-srpm-macros-8-2.fc39.noarch openblas-srpm-macros-2-14.fc39.noarch openldap-2.6.6-1.fc39.aarch64 openssl-libs-3.1.1-4.fc39.aarch64 p11-kit-0.25.3-1.fc39.aarch64 p11-kit-trust-0.25.3-1.fc39.aarch64 package-notes-srpm-macros-0.5-9.fc39.noarch pam-1.5.3-3.fc39.aarch64 pam-libs-1.5.3-3.fc39.aarch64 patch-2.7.6-22.fc39.aarch64 pcre2-10.42-1.fc39.2.aarch64 pcre2-syntax-10.42-1.fc39.2.noarch perl-srpm-macros-1-51.fc39.noarch pkgconf-1.9.5-2.fc39.aarch64 pkgconf-m4-1.9.5-2.fc39.noarch pkgconf-pkg-config-1.9.5-2.fc39.aarch64 popt-1.19-3.fc39.aarch64 publicsuffix-list-dafsa-20240107-1.fc39.noarch pyproject-srpm-macros-1.12.0-1.fc39.noarch python-srpm-macros-3.12-4.fc39.noarch qt5-srpm-macros-5.15.12-1.fc39.noarch qt6-srpm-macros-6.6.2-1.fc39.noarch readline-8.2-6.fc39.aarch64 redhat-rpm-config-266-1.fc39.noarch rpm-4.19.1.1-1.fc39.aarch64 rpm-build-4.19.1.1-1.fc39.aarch64 rpm-build-libs-4.19.1.1-1.fc39.aarch64 rpm-libs-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.6.0-1.fc39.aarch64 rpmautospec-rpm-macros-0.6.3-1.fc39.noarch rust-srpm-macros-26.2-1.fc39.noarch sed-4.8-14.fc39.aarch64 setup-2.14.4-1.fc39.noarch shadow-utils-4.14.0-2.fc39.aarch64 sqlite-libs-3.42.0-7.fc39.aarch64 systemd-libs-254.10-1.fc39.aarch64 tar-1.35-2.fc39.aarch64 unzip-6.0-62.fc39.aarch64 util-linux-2.39.4-1.fc39.aarch64 util-linux-core-2.39.4-1.fc39.aarch64 which-2.21-40.fc39.aarch64 xxhash-libs-0.8.2-1.fc39.aarch64 xz-5.4.4-1.fc39.aarch64 xz-libs-5.4.4-1.fc39.aarch64 zip-3.0-39.fc39.aarch64 zlib-1.2.13-4.fc39.aarch64 zstd-1.5.6-1.fc39.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log/dnf.rpm.log /var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-vi2jzago/nextpnr/nextpnr.spec) Config(child) 1 minutes 23 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm) Config(fedora-39-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-bootstrap-1714525352.459299/root. INFO: reusing tmpfs at /var/lib/mock/fedora-39-aarch64-bootstrap-1714525352.459299/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-1714525352.459299/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.6.0-1.fc39.aarch64 python3-dnf-4.19.2-1.fc39.noarch python3-dnf-plugins-core-4.6.0-1.fc39.noarch yum-4.19.2-1.fc39.noarch Finish: chroot init Start: build phase for nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm Start: build setup for nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 72 kB/s | 1.5 kB 00:00 Additional repo copr_rezso_ML 77 kB/s | 1.5 kB 00:00 Additional repo copr_rezso_CUDA 79 kB/s | 1.5 kB 00:00 Additional repo http_developer_download_nvidia_ 1.0 MB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 990 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 906 kB/s | 3.5 kB 00:00 fedora 184 kB/s | 13 kB 00:00 updates 152 kB/s | 12 kB 00:00 Dependencies resolved. ================================================================================================= Package Arch Version Repository Size ================================================================================================= Installing: boost-devel aarch64 1.81.0-8.fc39 fedora 14 M boost-filesystem aarch64 1.81.0-8.fc39 fedora 63 k boost-iostreams aarch64 1.81.0-8.fc39 fedora 40 k boost-program-options aarch64 1.81.0-8.fc39 fedora 104 k boost-thread aarch64 1.81.0-8.fc39 fedora 56 k capnproto aarch64 1.0.1-1.fc39 fedora 257 k capnproto-devel aarch64 1.0.1-1.fc39 fedora 379 k cmake aarch64 3.27.7-1.fc39 fedora 7.4 M eigen3-devel noarch 3.4.0-12.fc39 fedora 1.2 M fpga-interchange-schema-devel aarch64 0.0-20220704.4.gitc985b464.fc39 copr_base 61 k gcc-c++ aarch64 13.2.1-7.fc39 updates 12 M git aarch64 2.44.0-1.fc39 updates 53 k icestorm aarch64 0-20231212.0.git1a40ae75.fc39 copr_base 11 M json11-devel aarch64 1.0.0-10.fc39 copr_base 12 k make aarch64 1:4.4.1-2.fc39 fedora 585 k prjapicula noarch 0.12-20240331.0.git91807b03.fc39 copr_base 7.1 M prjoxide-devel aarch64 0-20240105.0.git30712ff9.fc39 copr_base 19 k prjtrellis-devel aarch64 1.4-20240129.1.git2dab0095.fc39 copr_base 30 k prjtrellis-python3 aarch64 1.4-20240129.1.git2dab0095.fc39 copr_base 828 k prjxray aarch64 0.0.1-20240427.0.git94fd2acd.fc39 copr_base 151 k pybind11-devel aarch64 2.11.1-1.fc39 fedora 176 k pypy3.10 aarch64 7.3.15-2.3.10.fc39 updates 13 k python-fpga-interchange noarch 0.0.20-20221019.2.git04a02101.fc39 copr_base 383 k python3-devel aarch64 3.12.2-2.fc39 updates 312 k tbb-devel aarch64 2020.3-20.fc39 fedora 335 k tcl-devel aarch64 1:8.6.12-5.fc39 fedora 169 k tk-devel aarch64 1:8.6.12-5.fc39 fedora 537 k wget aarch64 1.21.4-1.fc39 updates 807 k yum noarch 4.19.2-1.fc39 updates 29 k Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-10.fc39 fedora 121 k annobin-docs noarch 12.46-1.fc39 updates 88 k annobin-plugin-gcc aarch64 12.46-1.fc39 updates 958 k boost aarch64 1.81.0-8.fc39 fedora 14 k boost-atomic aarch64 1.81.0-8.fc39 fedora 19 k boost-chrono aarch64 1.81.0-8.fc39 fedora 25 k boost-container aarch64 1.81.0-8.fc39 fedora 39 k boost-context aarch64 1.81.0-8.fc39 fedora 17 k boost-contract aarch64 1.81.0-8.fc39 fedora 43 k boost-coroutine aarch64 1.81.0-8.fc39 fedora 20 k boost-date-time aarch64 1.81.0-8.fc39 fedora 16 k boost-fiber aarch64 1.81.0-8.fc39 fedora 41 k boost-graph aarch64 1.81.0-8.fc39 fedora 143 k boost-json aarch64 1.81.0-8.fc39 fedora 106 k boost-locale aarch64 1.81.0-8.fc39 fedora 206 k boost-log aarch64 1.81.0-8.fc39 fedora 458 k boost-math aarch64 1.81.0-8.fc39 fedora 259 k boost-nowide aarch64 1.81.0-8.fc39 fedora 21 k boost-numpy3 aarch64 1.81.0-8.fc39 fedora 28 k boost-python3 aarch64 1.81.0-8.fc39 fedora 89 k boost-random aarch64 1.81.0-8.fc39 fedora 24 k boost-regex aarch64 1.81.0-8.fc39 fedora 107 k boost-serialization aarch64 1.81.0-8.fc39 fedora 128 k boost-stacktrace aarch64 1.81.0-8.fc39 fedora 29 k boost-system aarch64 1.81.0-8.fc39 fedora 15 k boost-test aarch64 1.81.0-8.fc39 fedora 227 k boost-timer aarch64 1.81.0-8.fc39 fedora 23 k boost-type_erasure aarch64 1.81.0-8.fc39 fedora 31 k boost-wave aarch64 1.81.0-8.fc39 fedora 221 k brotli aarch64 1.1.0-1.fc39 fedora 19 k brotli-devel aarch64 1.1.0-1.fc39 fedora 34 k bzip2-devel aarch64 1.0.8-16.fc39 fedora 214 k cairo aarch64 1.18.0-1.fc39 fedora 692 k capnproto-libs aarch64 1.0.1-1.fc39 fedora 1.3 M cmake-data noarch 3.27.7-1.fc39 fedora 2.2 M cmake-filesystem aarch64 3.27.7-1.fc39 fedora 19 k cmake-rpm-macros noarch 3.27.7-1.fc39 fedora 18 k cpp aarch64 13.2.1-7.fc39 updates 9.7 M dbus aarch64 1:1.14.10-1.fc39 fedora 8.1 k dbus-broker aarch64 35-2.fc39 updates 172 k dbus-common noarch 1:1.14.10-1.fc39 fedora 15 k default-fonts-core-sans noarch 4.0-9.fc39 fedora 32 k dnf noarch 4.19.2-1.fc39 updates 496 k dnf-data noarch 4.19.2-1.fc39 updates 32 k emacs-filesystem noarch 1:29.3-1.fc39 updates 7.2 k expat aarch64 2.6.2-1.fc39 updates 112 k fasm-python3 noarch 0.0.2-20220725.3.gitffafe821.fc39 copr_base 42 k flexiblas aarch64 3.4.2-1.fc39 updates 25 k flexiblas-netlib aarch64 3.4.2-1.fc39 updates 2.6 M flexiblas-openblas-openmp aarch64 3.4.2-1.fc39 updates 17 k fontconfig aarch64 2.14.2-6.fc39 updates 302 k fontconfig-devel aarch64 2.14.2-6.fc39 updates 164 k fonts-filesystem noarch 1:2.0.5-12.fc39 fedora 8.2 k fpga-interchange-schema aarch64 0.0-20220704.4.gitc985b464.fc39 copr_base 38 k freetype aarch64 2.13.1-2.fc39 fedora 406 k freetype-devel aarch64 2.13.1-2.fc39 fedora 950 k gc aarch64 8.2.2-4.fc39 fedora 110 k gcc aarch64 13.2.1-7.fc39 updates 31 M gcc-plugin-annobin aarch64 13.2.1-7.fc39 updates 52 k gettext aarch64 0.22-2.fc39 fedora 1.1 M gettext-envsubst aarch64 0.22-2.fc39 fedora 36 k gettext-libs aarch64 0.22-2.fc39 fedora 310 k gettext-runtime aarch64 0.22-2.fc39 fedora 119 k gflags aarch64 2.2.2-12.fc39 fedora 86 k git-core aarch64 2.44.0-1.fc39 updates 4.6 M git-core-doc noarch 2.44.0-1.fc39 updates 2.9 M glib2 aarch64 2.78.3-1.fc39 updates 2.8 M glib2-devel aarch64 2.78.3-1.fc39 updates 597 k glibc-devel aarch64 2.38-99.fc39 copr_rezso_ML 498 k gnupg2 aarch64 2.4.4-1.fc39 updates 2.7 M gnutls aarch64 3.8.5-1.fc39 updates 1.1 M google-noto-fonts-common noarch 20240101-1.fc39 updates 17 k google-noto-sans-vf-fonts noarch 20240101-1.fc39 updates 593 k gpgme aarch64 1.20.0-5.fc39 fedora 209 k graphite2 aarch64 1.3.14-12.fc39 fedora 93 k graphite2-devel aarch64 1.3.14-12.fc39 fedora 20 k groff-base aarch64 1.23.0-3.fc39 updates 1.1 M guile22 aarch64 2.2.7-9.fc39 fedora 6.5 M harfbuzz aarch64 8.2.1-2.fc39 fedora 934 k harfbuzz-devel aarch64 8.2.1-2.fc39 fedora 449 k harfbuzz-icu aarch64 8.2.1-2.fc39 fedora 17 k ima-evm-utils aarch64 1.5-2.fc39 fedora 63 k json-c aarch64 0.17-1.fc39 fedora 44 k json11 aarch64 1.0.0-10.fc39 copr_base 38 k jsoncpp aarch64 1.9.5-5.fc39 fedora 91 k kernel-headers aarch64 6.8.3-200.fc39 updates 1.6 M kmod-libs aarch64 30-6.fc39 fedora 67 k less aarch64 633-2.fc39 fedora 176 k libX11 aarch64 1.8.9-1.fc39 updates 639 k libX11-common noarch 1.8.9-1.fc39 updates 176 k libX11-devel aarch64 1.8.9-1.fc39 updates 1.0 M libX11-xcb aarch64 1.8.9-1.fc39 updates 12 k libXau aarch64 1.0.11-3.fc39 fedora 32 k libXau-devel aarch64 1.0.11-3.fc39 fedora 14 k libXext aarch64 1.3.5-3.fc39 fedora 39 k libXft aarch64 2.3.8-3.fc39 fedora 71 k libXft-devel aarch64 2.3.8-3.fc39 fedora 50 k libXrender aarch64 0.9.11-3.fc39 fedora 27 k libXrender-devel aarch64 0.9.11-3.fc39 fedora 19 k libasan aarch64 13.2.1-7.fc39 updates 455 k libassuan aarch64 2.5.6-2.fc39 fedora 66 k libatomic aarch64 13.2.1-7.fc39 updates 42 k libb2 aarch64 0.98.1-9.fc39 fedora 24 k libblkid-devel aarch64 2.39.4-1.fc39 updates 18 k libcbor aarch64 0.10.2-2.fc39 fedora 57 k libcomps aarch64 0.1.20-1.fc39 updates 75 k libdnf aarch64 0.73.1-1.fc39 updates 630 k libedit aarch64 3.1-48.20230828cvs.fc39 fedora 107 k libffi-devel aarch64 3.4.4-4.fc39 fedora 28 k libfido2 aarch64 1.13.0-3.fc39 fedora 96 k libfsverity aarch64 1.4-10.fc39 fedora 19 k libftdi aarch64 1.5-10.fc39 fedora 44 k libgcrypt aarch64 1.10.2-2.fc39 fedora 451 k libgfortran aarch64 13.2.1-7.fc39 updates 438 k libgpg-error aarch64 1.47-2.fc39 fedora 230 k libicu aarch64 73.2-2.fc39 fedora 10 M libicu-devel aarch64 73.2-2.fc39 fedora 925 k libksba aarch64 1.6.4-2.fc39 fedora 157 k libmetalink aarch64 0.1.3-32.fc39 fedora 32 k libmodulemd aarch64 2.15.0-5.fc39 fedora 210 k libmount-devel aarch64 2.39.4-1.fc39 updates 18 k libmpc aarch64 1.3.1-3.fc39 fedora 72 k libpng aarch64 2:1.6.37-15.fc39 fedora 115 k libpng-devel aarch64 2:1.6.37-15.fc39 fedora 291 k librepo aarch64 1.17.1-1.fc39 updates 97 k libreport-filesystem noarch 2.17.11-3.fc39 fedora 14 k libseccomp aarch64 2.5.3-6.fc39 fedora 72 k libselinux-devel aarch64 3.5-5.fc39 fedora 151 k libsepol-devel aarch64 3.5-2.fc39 fedora 49 k libsolv aarch64 0.7.28-1.fc39 updates 405 k libstdc++-devel aarch64 13.2.1-7.fc39 updates 2.6 M libtool-ltdl aarch64 2.4.7-7.fc39 fedora 36 k libubsan aarch64 13.2.1-7.fc39 updates 209 k libusb1 aarch64 1.0.27-1.fc39 updates 76 k libuv aarch64 1:1.48.0-1.fc39 updates 249 k libxcb aarch64 1.13.1-12.fc39 fedora 238 k libxcb-devel aarch64 1.13.1-12.fc39 fedora 1.4 M libxcrypt-devel aarch64 4.4.36-2.fc39 fedora 30 k libxml2-devel aarch64 2.10.4-3.fc39 fedora 527 k libyaml aarch64 0.2.5-12.fc39 fedora 59 k mpdecimal aarch64 2.5.1-7.fc39 fedora 90 k ncurses aarch64 6.4-7.20230520.fc39.1 updates 414 k nettle aarch64 3.9.1-2.fc39 fedora 434 k npth aarch64 1.6-14.fc39 fedora 25 k openblas aarch64 0.3.21-6.fc39 fedora 35 k openblas-openmp aarch64 0.3.21-6.fc39 fedora 3.7 M openssh aarch64 9.3p1-10.fc39 updates 431 k openssh-clients aarch64 9.3p1-10.fc39 updates 729 k pcre2-devel aarch64 10.42-1.fc39.2 fedora 505 k pcre2-utf16 aarch64 10.42-1.fc39.2 fedora 199 k pcre2-utf32 aarch64 10.42-1.fc39.2 fedora 187 k perl-AutoLoader noarch 5.74-502.fc39 updates 21 k perl-B aarch64 1.88-502.fc39 updates 178 k perl-Carp noarch 1.54-500.fc39 fedora 29 k perl-Class-Struct noarch 0.68-502.fc39 updates 22 k perl-Data-Dumper aarch64 2.188-501.fc39 fedora 55 k perl-Digest noarch 1.20-500.fc39 fedora 25 k perl-Digest-MD5 aarch64 2.58-500.fc39 fedora 36 k perl-DynaLoader aarch64 1.54-502.fc39 updates 26 k perl-Encode aarch64 4:3.19-500.fc39 fedora 1.7 M perl-Errno aarch64 1.37-502.fc39 updates 15 k perl-Error noarch 1:0.17029-13.fc39 fedora 40 k perl-Exporter noarch 5.77-500.fc39 fedora 31 k perl-Fcntl aarch64 1.15-502.fc39 updates 21 k perl-File-Basename noarch 2.86-502.fc39 updates 17 k perl-File-Find noarch 1.43-502.fc39 updates 25 k perl-File-Path noarch 2.18-500.fc39 fedora 35 k perl-File-Temp noarch 1:0.231.100-500.fc39 fedora 58 k perl-File-stat noarch 1.13-502.fc39 updates 17 k perl-FileHandle noarch 2.05-502.fc39 updates 16 k perl-Getopt-Long noarch 1:2.54-500.fc39 fedora 60 k perl-Getopt-Std noarch 1.13-502.fc39 updates 16 k perl-Git noarch 2.44.0-1.fc39 updates 40 k perl-HTTP-Tiny noarch 0.088-3.fc39 fedora 56 k perl-IO aarch64 1.52-502.fc39 updates 83 k perl-IO-Socket-IP noarch 0.42-1.fc39 fedora 42 k perl-IO-Socket-SSL noarch 2.083-3.fc39 fedora 225 k perl-IPC-Open3 noarch 1.22-502.fc39 updates 22 k perl-MIME-Base64 aarch64 3.16-500.fc39 fedora 30 k perl-Mozilla-CA noarch 20230801-1.fc39 fedora 13 k perl-Net-SSLeay aarch64 1.92-10.fc39 fedora 356 k perl-POSIX aarch64 2.13-502.fc39 updates 98 k perl-PathTools aarch64 3.89-500.fc39 fedora 88 k perl-Pod-Escapes noarch 1:1.07-500.fc39 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-501.fc39 fedora 86 k perl-Pod-Simple noarch 1:3.45-4.fc39 fedora 218 k perl-Pod-Usage noarch 4:2.03-500.fc39 fedora 39 k perl-Scalar-List-Utils aarch64 5:1.63-500.fc39 fedora 71 k perl-SelectSaver noarch 1.02-502.fc39 updates 12 k perl-Socket aarch64 4:2.037-3.fc39 fedora 56 k perl-Storable aarch64 1:3.32-500.fc39 fedora 97 k perl-Symbol noarch 1.09-502.fc39 updates 14 k perl-Term-ANSIColor noarch 5.01-501.fc39 fedora 47 k perl-Term-Cap noarch 1.18-500.fc39 fedora 22 k perl-TermReadKey aarch64 2.38-18.fc39 fedora 35 k perl-Text-ParseWords noarch 3.31-500.fc39 fedora 16 k perl-Text-Tabs+Wrap noarch 2023.0511-3.fc39 fedora 22 k perl-Time-Local noarch 2:1.350-3.fc39 fedora 34 k perl-URI noarch 5.21-1.fc39 fedora 125 k perl-base noarch 2.27-502.fc39 updates 16 k perl-constant noarch 1.33-501.fc39 fedora 22 k perl-if noarch 0.61.000-502.fc39 updates 14 k perl-interpreter aarch64 4:5.38.2-502.fc39 updates 72 k perl-lib aarch64 0.65-502.fc39 updates 15 k perl-libnet noarch 3.15-501.fc39 fedora 129 k perl-libs aarch64 4:5.38.2-502.fc39 updates 2.3 M perl-locale noarch 1.10-502.fc39 updates 14 k perl-mro aarch64 1.28-502.fc39 updates 29 k perl-overload noarch 1.37-502.fc39 updates 46 k perl-overloading noarch 0.02-502.fc39 updates 13 k perl-parent noarch 1:0.241-500.fc39 fedora 14 k perl-podlators noarch 1:5.01-500.fc39 fedora 125 k perl-vars noarch 1.05-502.fc39 updates 13 k pixman aarch64 0.42.2-2.fc39 fedora 216 k prjoxide aarch64 0-20240105.0.git30712ff9.fc39 copr_base 2.9 M prjoxide-data noarch 0-20240105.0.git30712ff9.fc39 copr_base 945 k prjtrellis aarch64 1.4-20240129.1.git2dab0095.fc39 copr_base 469 k prjtrellis-data noarch 1.4-20240129.1.git2dab0095.fc39 copr_base 1.1 M prjxray-data noarch 0.0.1-20240427.0.git94fd2acd.fc39 copr_base 6.6 M prjxray-python3 aarch64 0.0.1-20240427.0.git94fd2acd.fc39 copr_base 3.7 M pycapnp aarch64 2.0.0-20240411.0.git78dd54e6.fc39 copr_base 440 k pyproject-rpm-macros noarch 1.12.0-1.fc39 updates 41 k pypy3.10-libs aarch64 7.3.15-2.3.10.fc39 updates 18 M pysat aarch64 0.1.7-20240418.0.git97505cb7.fc39 copr_base 1.2 M python-pip-wheel noarch 23.2.1-2.fc39 updates 1.5 M python-rpm-macros noarch 3.12-4.fc39 fedora 19 k python-setuptools-wheel noarch 67.7.2-7.fc39 fedora 661 k python3 aarch64 3.12.2-2.fc39 updates 27 k python3-arpeggio noarch 1.10.2-9.fc39 fedora 152 k python3-crc noarch 6.1.1-1.fc39 copr_base 26 k python3-dnf noarch 4.19.2-1.fc39 updates 586 k python3-hawkey aarch64 0.73.1-1.fc39 updates 99 k python3-intervaltree noarch 3.1.0-10.fc39 fedora 60 k python3-libcomps aarch64 0.1.20-1.fc39 updates 48 k python3-libdnf aarch64 0.73.1-1.fc39 updates 809 k python3-libs aarch64 3.12.2-2.fc39 updates 9.1 M python3-numpy aarch64 1:1.24.4-2.fc39 fedora 7.2 M python3-packaging noarch 23.1-4.fc39 fedora 114 k python3-pyyaml aarch64 6.0.1-11.fc39 fedora 223 k python3-rpm aarch64 4.19.1.1-1.fc39 updates 68 k python3-rpm-generators noarch 14-7.fc39 fedora 30 k python3-rpm-macros noarch 3.12-4.fc39 fedora 14 k python3-setuptools noarch 67.7.2-7.fc39 fedora 1.5 M python3-simplejson aarch64 3.19.2-1.fc39 updates 162 k python3-six noarch 1.16.0-12.fc39 fedora 41 k python3-sortedcontainers noarch 2.4.0-13.fc39 fedora 60 k rhash aarch64 1.4.3-3.fc39 fedora 192 k rpm-sign-libs aarch64 4.19.1.1-1.fc39 updates 26 k sysprof-capture-devel aarch64 45.1-1.fc39 updates 61 k systemd aarch64 254.10-1.fc39 updates 4.6 M systemd-pam aarch64 254.10-1.fc39 updates 352 k systemd-rpm-macros noarch 254.10-1.fc39 updates 28 k tbb aarch64 2020.3-20.fc39 fedora 140 k tcl aarch64 1:8.6.12-5.fc39 fedora 1.1 M textx-python3 noarch 3.1.1-20230821.0.gitaab3506f.fc39 copr_base 1.5 M tk aarch64 1:8.6.12-5.fc39 fedora 1.6 M tpm2-tss aarch64 4.0.1-6.fc39 updates 381 k tzdata noarch 2024a-2.fc39 updates 715 k vim-filesystem noarch 2:9.1.354-1.fc39 updates 17 k xml-common noarch 0.6.3-61.fc39 fedora 31 k xorg-x11-proto-devel noarch 2023.2-2.fc39 fedora 298 k xz-devel aarch64 5.4.4-1.fc39 fedora 66 k yaml-cpp aarch64 0.7.0-4.fc39 fedora 118 k zchunk-libs aarch64 1.4.0-1.fc39 updates 52 k zlib-devel aarch64 1.2.13-4.fc39 fedora 45 k Transaction Summary ================================================================================================= Install 294 Packages Total download size: 246 M Installed size: 1.6 G Downloading Packages: (1/294): fpga-interchange-schema-devel-0.0-2022 590 kB/s | 61 kB 00:00 (2/294): fpga-interchange-schema-0.0-20220704.4 360 kB/s | 38 kB 00:00 (3/294): json11-1.0.0-10.fc39.aarch64.rpm 3.0 MB/s | 38 kB 00:00 (4/294): json11-devel-1.0.0-10.fc39.aarch64.rpm 1.3 MB/s | 12 kB 00:00 (5/294): fasm-python3-0.0.2-20220725.3.gitffafe 299 kB/s | 42 kB 00:00 (6/294): prjoxide-0-20240105.0.git30712ff9.fc39 55 MB/s | 2.9 MB 00:00 (7/294): prjoxide-data-0-20240105.0.git30712ff9 23 MB/s | 945 kB 00:00 (8/294): prjoxide-devel-0-20240105.0.git30712ff 1.2 MB/s | 19 kB 00:00 (9/294): icestorm-0-20231212.0.git1a40ae75.fc39 55 MB/s | 11 MB 00:00 (10/294): prjtrellis-data-1.4-20240129.1.git2da 33 MB/s | 1.1 MB 00:00 (11/294): prjtrellis-1.4-20240129.1.git2dab0095 5.8 MB/s | 469 kB 00:00 (12/294): prjapicula-0.12-20240331.0.git91807b0 32 MB/s | 7.1 MB 00:00 (13/294): prjtrellis-devel-1.4-20240129.1.git2d 1.1 MB/s | 30 kB 00:00 (14/294): prjtrellis-python3-1.4-20240129.1.git 21 MB/s | 828 kB 00:00 (15/294): prjxray-0.0.1-20240427.0.git94fd2acd. 7.5 MB/s | 151 kB 00:00 (16/294): pycapnp-2.0.0-20240411.0.git78dd54e6. 20 MB/s | 440 kB 00:00 (17/294): prjxray-python3-0.0.1-20240427.0.git9 72 MB/s | 3.7 MB 00:00 (18/294): pysat-0.1.7-20240418.0.git97505cb7.fc 39 MB/s | 1.2 MB 00:00 (19/294): python-fpga-interchange-0.0.20-202210 19 MB/s | 383 kB 00:00 (20/294): python3-crc-6.1.1-1.fc39.noarch.rpm 1.3 MB/s | 26 kB 00:00 (21/294): glibc-devel-2.38-99.fc39.aarch64.rpm 23 MB/s | 498 kB 00:00 (22/294): abattis-cantarell-vf-fonts-0.301-10.f 14 MB/s | 121 kB 00:00 (23/294): boost-1.81.0-8.fc39.aarch64.rpm 4.1 MB/s | 14 kB 00:00 (24/294): boost-atomic-1.81.0-8.fc39.aarch64.rp 6.2 MB/s | 19 kB 00:00 (25/294): boost-chrono-1.81.0-8.fc39.aarch64.rp 13 MB/s | 25 kB 00:00 (26/294): boost-container-1.81.0-8.fc39.aarch64 12 MB/s | 39 kB 00:00 (27/294): boost-context-1.81.0-8.fc39.aarch64.r 4.5 MB/s | 17 kB 00:00 (28/294): boost-contract-1.81.0-8.fc39.aarch64. 12 MB/s | 43 kB 00:00 (29/294): boost-coroutine-1.81.0-8.fc39.aarch64 4.4 MB/s | 20 kB 00:00 (30/294): boost-date-time-1.81.0-8.fc39.aarch64 4.1 MB/s | 16 kB 00:00 (31/294): prjxray-data-0.0.1-20240427.0.git94fd 38 MB/s | 6.6 MB 00:00 (32/294): boost-fiber-1.81.0-8.fc39.aarch64.rpm 8.3 MB/s | 41 kB 00:00 (33/294): boost-filesystem-1.81.0-8.fc39.aarch6 9.7 MB/s | 63 kB 00:00 (34/294): boost-graph-1.81.0-8.fc39.aarch64.rpm 20 MB/s | 143 kB 00:00 (35/294): boost-iostreams-1.81.0-8.fc39.aarch64 8.5 MB/s | 40 kB 00:00 (36/294): boost-json-1.81.0-8.fc39.aarch64.rpm 10 MB/s | 106 kB 00:00 (37/294): textx-python3-3.1.1-20230821.0.gitaab 12 MB/s | 1.5 MB 00:00 (38/294): boost-locale-1.81.0-8.fc39.aarch64.rp 18 MB/s | 206 kB 00:00 (39/294): boost-log-1.81.0-8.fc39.aarch64.rpm 38 MB/s | 458 kB 00:00 (40/294): boost-math-1.81.0-8.fc39.aarch64.rpm 30 MB/s | 259 kB 00:00 (41/294): boost-devel-1.81.0-8.fc39.aarch64.rpm 142 MB/s | 14 MB 00:00 (42/294): boost-nowide-1.81.0-8.fc39.aarch64.rp 1.1 MB/s | 21 kB 00:00 (43/294): boost-numpy3-1.81.0-8.fc39.aarch64.rp 1.8 MB/s | 28 kB 00:00 (44/294): boost-random-1.81.0-8.fc39.aarch64.rp 6.0 MB/s | 24 kB 00:00 (45/294): boost-python3-1.81.0-8.fc39.aarch64.r 18 MB/s | 89 kB 00:00 (46/294): boost-program-options-1.81.0-8.fc39.a 14 MB/s | 104 kB 00:00 (47/294): boost-regex-1.81.0-8.fc39.aarch64.rpm 27 MB/s | 107 kB 00:00 (48/294): boost-serialization-1.81.0-8.fc39.aar 21 MB/s | 128 kB 00:00 (49/294): boost-stacktrace-1.81.0-8.fc39.aarch6 5.2 MB/s | 29 kB 00:00 (50/294): boost-test-1.81.0-8.fc39.aarch64.rpm 44 MB/s | 227 kB 00:00 (51/294): boost-timer-1.81.0-8.fc39.aarch64.rpm 7.2 MB/s | 23 kB 00:00 (52/294): boost-type_erasure-1.81.0-8.fc39.aarc 9.1 MB/s | 31 kB 00:00 (53/294): boost-wave-1.81.0-8.fc39.aarch64.rpm 34 MB/s | 221 kB 00:00 (54/294): brotli-1.1.0-1.fc39.aarch64.rpm 11 MB/s | 19 kB 00:00 (55/294): brotli-devel-1.1.0-1.fc39.aarch64.rpm 13 MB/s | 34 kB 00:00 (56/294): bzip2-devel-1.0.8-16.fc39.aarch64.rpm 69 MB/s | 214 kB 00:00 (57/294): cairo-1.18.0-1.fc39.aarch64.rpm 130 MB/s | 692 kB 00:00 (58/294): capnproto-1.0.1-1.fc39.aarch64.rpm 37 MB/s | 257 kB 00:00 (59/294): boost-thread-1.81.0-8.fc39.aarch64.rp 1.1 MB/s | 56 kB 00:00 (60/294): capnproto-libs-1.0.1-1.fc39.aarch64.r 123 MB/s | 1.3 MB 00:00 (61/294): boost-system-1.81.0-8.fc39.aarch64.rp 195 kB/s | 15 kB 00:00 (62/294): cmake-3.27.7-1.fc39.aarch64.rpm 211 MB/s | 7.4 MB 00:00 (63/294): cmake-data-3.27.7-1.fc39.noarch.rpm 89 MB/s | 2.2 MB 00:00 (64/294): cmake-filesystem-3.27.7-1.fc39.aarch6 4.4 MB/s | 19 kB 00:00 (65/294): dbus-1.14.10-1.fc39.aarch64.rpm 5.4 MB/s | 8.1 kB 00:00 (66/294): cmake-rpm-macros-3.27.7-1.fc39.noarch 6.1 MB/s | 18 kB 00:00 (67/294): dbus-common-1.14.10-1.fc39.noarch.rpm 7.5 MB/s | 15 kB 00:00 (68/294): default-fonts-core-sans-4.0-9.fc39.no 10 MB/s | 32 kB 00:00 (69/294): fonts-filesystem-2.0.5-12.fc39.noarch 6.3 MB/s | 8.2 kB 00:00 (70/294): freetype-2.13.1-2.fc39.aarch64.rpm 99 MB/s | 406 kB 00:00 (71/294): capnproto-devel-1.0.1-1.fc39.aarch64. 4.9 MB/s | 379 kB 00:00 (72/294): gc-8.2.2-4.fc39.aarch64.rpm 27 MB/s | 110 kB 00:00 (73/294): freetype-devel-2.13.1-2.fc39.aarch64. 142 MB/s | 950 kB 00:00 (74/294): gettext-envsubst-0.22-2.fc39.aarch64. 13 MB/s | 36 kB 00:00 (75/294): gettext-0.22-2.fc39.aarch64.rpm 173 MB/s | 1.1 MB 00:00 (76/294): gettext-libs-0.22-2.fc39.aarch64.rpm 93 MB/s | 310 kB 00:00 (77/294): gettext-runtime-0.22-2.fc39.aarch64.r 44 MB/s | 119 kB 00:00 (78/294): gpgme-1.20.0-5.fc39.aarch64.rpm 32 MB/s | 209 kB 00:00 (79/294): graphite2-1.3.14-12.fc39.aarch64.rpm 45 MB/s | 93 kB 00:00 (80/294): graphite2-devel-1.3.14-12.fc39.aarch6 15 MB/s | 20 kB 00:00 (81/294): guile22-2.2.7-9.fc39.aarch64.rpm 185 MB/s | 6.5 MB 00:00 (82/294): gflags-2.2.2-12.fc39.aarch64.rpm 1.8 MB/s | 86 kB 00:00 (83/294): eigen3-devel-3.4.0-12.fc39.noarch.rpm 16 MB/s | 1.2 MB 00:00 (84/294): harfbuzz-devel-8.2.1-2.fc39.aarch64.r 56 MB/s | 449 kB 00:00 (85/294): harfbuzz-icu-8.2.1-2.fc39.aarch64.rpm 4.8 MB/s | 17 kB 00:00 (86/294): ima-evm-utils-1.5-2.fc39.aarch64.rpm 23 MB/s | 63 kB 00:00 (87/294): json-c-0.17-1.fc39.aarch64.rpm 8.1 MB/s | 44 kB 00:00 (88/294): harfbuzz-8.2.1-2.fc39.aarch64.rpm 55 MB/s | 934 kB 00:00 (89/294): jsoncpp-1.9.5-5.fc39.aarch64.rpm 17 MB/s | 91 kB 00:00 (90/294): kmod-libs-30-6.fc39.aarch64.rpm 16 MB/s | 67 kB 00:00 (91/294): libXau-1.0.11-3.fc39.aarch64.rpm 12 MB/s | 32 kB 00:00 (92/294): libXext-1.3.5-3.fc39.aarch64.rpm 9.6 MB/s | 39 kB 00:00 (93/294): less-633-2.fc39.aarch64.rpm 21 MB/s | 176 kB 00:00 (94/294): libXau-devel-1.0.11-3.fc39.aarch64.rp 2.3 MB/s | 14 kB 00:00 (95/294): libXft-devel-2.3.8-3.fc39.aarch64.rpm 21 MB/s | 50 kB 00:00 (96/294): libXft-2.3.8-3.fc39.aarch64.rpm 19 MB/s | 71 kB 00:00 (97/294): libXrender-0.9.11-3.fc39.aarch64.rpm 5.4 MB/s | 27 kB 00:00 (98/294): libassuan-2.5.6-2.fc39.aarch64.rpm 22 MB/s | 66 kB 00:00 (99/294): libXrender-devel-0.9.11-3.fc39.aarch6 4.9 MB/s | 19 kB 00:00 (100/294): libb2-0.98.1-9.fc39.aarch64.rpm 6.7 MB/s | 24 kB 00:00 (101/294): libcbor-0.10.2-2.fc39.aarch64.rpm 16 MB/s | 57 kB 00:00 (102/294): libedit-3.1-48.20230828cvs.fc39.aarc 25 MB/s | 107 kB 00:00 (103/294): libffi-devel-3.4.4-4.fc39.aarch64.rp 8.5 MB/s | 28 kB 00:00 (104/294): libfido2-1.13.0-3.fc39.aarch64.rpm 21 MB/s | 96 kB 00:00 (105/294): libfsverity-1.4-10.fc39.aarch64.rpm 4.6 MB/s | 19 kB 00:00 (106/294): libgpg-error-1.47-2.fc39.aarch64.rpm 83 MB/s | 230 kB 00:00 (107/294): libgcrypt-1.10.2-2.fc39.aarch64.rpm 94 MB/s | 451 kB 00:00 (108/294): libicu-devel-73.2-2.fc39.aarch64.rpm 147 MB/s | 925 kB 00:00 (109/294): libksba-1.6.4-2.fc39.aarch64.rpm 12 MB/s | 157 kB 00:00 (110/294): libftdi-1.5-10.fc39.aarch64.rpm 1.4 MB/s | 44 kB 00:00 (111/294): libmetalink-0.1.3-32.fc39.aarch64.rp 3.2 MB/s | 32 kB 00:00 (112/294): libicu-73.2-2.fc39.aarch64.rpm 206 MB/s | 10 MB 00:00 (113/294): libmodulemd-2.15.0-5.fc39.aarch64.rp 8.1 MB/s | 210 kB 00:00 (114/294): libmpc-1.3.1-3.fc39.aarch64.rpm 3.6 MB/s | 72 kB 00:00 (115/294): libreport-filesystem-2.17.11-3.fc39. 2.7 MB/s | 14 kB 00:00 (116/294): libpng-devel-1.6.37-15.fc39.aarch64. 44 MB/s | 291 kB 00:00 (117/294): libpng-1.6.37-15.fc39.aarch64.rpm 13 MB/s | 115 kB 00:00 (118/294): libselinux-devel-3.5-5.fc39.aarch64. 29 MB/s | 151 kB 00:00 (119/294): libseccomp-2.5.3-6.fc39.aarch64.rpm 10 MB/s | 72 kB 00:00 (120/294): libsepol-devel-3.5-2.fc39.aarch64.rp 8.7 MB/s | 49 kB 00:00 (121/294): libtool-ltdl-2.4.7-7.fc39.aarch64.rp 7.8 MB/s | 36 kB 00:00 (122/294): libxcb-1.13.1-12.fc39.aarch64.rpm 51 MB/s | 238 kB 00:00 (123/294): libxcb-devel-1.13.1-12.fc39.aarch64. 152 MB/s | 1.4 MB 00:00 (124/294): libxcrypt-devel-4.4.36-2.fc39.aarch6 4.3 MB/s | 30 kB 00:00 (125/294): libxml2-devel-2.10.4-3.fc39.aarch64. 80 MB/s | 527 kB 00:00 (126/294): libyaml-0.2.5-12.fc39.aarch64.rpm 17 MB/s | 59 kB 00:00 (127/294): make-4.4.1-2.fc39.aarch64.rpm 131 MB/s | 585 kB 00:00 (128/294): mpdecimal-2.5.1-7.fc39.aarch64.rpm 20 MB/s | 90 kB 00:00 (129/294): npth-1.6-14.fc39.aarch64.rpm 7.6 MB/s | 25 kB 00:00 (130/294): nettle-3.9.1-2.fc39.aarch64.rpm 49 MB/s | 434 kB 00:00 (131/294): openblas-0.3.21-6.fc39.aarch64.rpm 4.8 MB/s | 35 kB 00:00 (132/294): pcre2-devel-10.42-1.fc39.2.aarch64.r 106 MB/s | 505 kB 00:00 (133/294): pcre2-utf16-10.42-1.fc39.2.aarch64.r 38 MB/s | 199 kB 00:00 (134/294): pcre2-utf32-10.42-1.fc39.2.aarch64.r 37 MB/s | 187 kB 00:00 (135/294): perl-Carp-1.54-500.fc39.noarch.rpm 3.6 MB/s | 29 kB 00:00 (136/294): perl-Data-Dumper-2.188-501.fc39.aarc 15 MB/s | 55 kB 00:00 (137/294): perl-Digest-1.20-500.fc39.noarch.rpm 8.6 MB/s | 25 kB 00:00 (138/294): perl-Digest-MD5-2.58-500.fc39.aarch6 7.8 MB/s | 36 kB 00:00 (139/294): openblas-openmp-0.3.21-6.fc39.aarch6 120 MB/s | 3.7 MB 00:00 (140/294): perl-Error-0.17029-13.fc39.noarch.rp 4.3 MB/s | 40 kB 00:00 (141/294): perl-Encode-3.19-500.fc39.aarch64.rp 123 MB/s | 1.7 MB 00:00 (142/294): perl-Exporter-5.77-500.fc39.noarch.r 7.8 MB/s | 31 kB 00:00 (143/294): perl-File-Path-2.18-500.fc39.noarch. 9.1 MB/s | 35 kB 00:00 (144/294): perl-Getopt-Long-2.54-500.fc39.noarc 9.5 MB/s | 60 kB 00:00 (145/294): perl-File-Temp-0.231.100-500.fc39.no 7.2 MB/s | 58 kB 00:00 (146/294): perl-HTTP-Tiny-0.088-3.fc39.noarch.r 8.2 MB/s | 56 kB 00:00 (147/294): perl-IO-Socket-IP-0.42-1.fc39.noarch 21 MB/s | 42 kB 00:00 (148/294): perl-MIME-Base64-3.16-500.fc39.aarch 15 MB/s | 30 kB 00:00 (149/294): perl-IO-Socket-SSL-2.083-3.fc39.noar 75 MB/s | 225 kB 00:00 (150/294): perl-Mozilla-CA-20230801-1.fc39.noar 5.6 MB/s | 13 kB 00:00 (151/294): perl-Net-SSLeay-1.92-10.fc39.aarch64 86 MB/s | 356 kB 00:00 (152/294): perl-Pod-Escapes-1.07-500.fc39.noarc 5.5 MB/s | 20 kB 00:00 (153/294): perl-PathTools-3.89-500.fc39.aarch64 12 MB/s | 88 kB 00:00 (154/294): perl-Pod-Perldoc-3.28.01-501.fc39.no 16 MB/s | 86 kB 00:00 (155/294): perl-Pod-Simple-3.45-4.fc39.noarch.r 39 MB/s | 218 kB 00:00 (156/294): perl-Pod-Usage-2.03-500.fc39.noarch. 11 MB/s | 39 kB 00:00 (157/294): perl-Scalar-List-Utils-1.63-500.fc39 35 MB/s | 71 kB 00:00 (158/294): perl-Socket-2.037-3.fc39.aarch64.rpm 21 MB/s | 56 kB 00:00 (159/294): perl-Storable-3.32-500.fc39.aarch64. 30 MB/s | 97 kB 00:00 (160/294): perl-Term-Cap-1.18-500.fc39.noarch.r 9.1 MB/s | 22 kB 00:00 (161/294): perl-Term-ANSIColor-5.01-501.fc39.no 9.4 MB/s | 47 kB 00:00 (162/294): perl-TermReadKey-2.38-18.fc39.aarch6 11 MB/s | 35 kB 00:00 (163/294): perl-Text-ParseWords-3.31-500.fc39.n 3.7 MB/s | 16 kB 00:00 (164/294): perl-Time-Local-1.350-3.fc39.noarch. 11 MB/s | 34 kB 00:00 (165/294): perl-Text-Tabs+Wrap-2023.0511-3.fc39 4.5 MB/s | 22 kB 00:00 (166/294): perl-URI-5.21-1.fc39.noarch.rpm 49 MB/s | 125 kB 00:00 (167/294): perl-parent-0.241-500.fc39.noarch.rp 5.5 MB/s | 14 kB 00:00 (168/294): perl-constant-1.33-501.fc39.noarch.r 4.3 MB/s | 22 kB 00:00 (169/294): perl-libnet-3.15-501.fc39.noarch.rpm 17 MB/s | 129 kB 00:00 (170/294): pixman-0.42.2-2.fc39.aarch64.rpm 50 MB/s | 216 kB 00:00 (171/294): perl-podlators-5.01-500.fc39.noarch. 23 MB/s | 125 kB 00:00 (172/294): python-rpm-macros-3.12-4.fc39.noarch 4.4 MB/s | 19 kB 00:00 (173/294): python-setuptools-wheel-67.7.2-7.fc3 17 MB/s | 661 kB 00:00 (174/294): pybind11-devel-2.11.1-1.fc39.aarch64 3.5 MB/s | 176 kB 00:00 (175/294): python3-arpeggio-1.10.2-9.fc39.noarc 3.0 MB/s | 152 kB 00:00 (176/294): python3-packaging-23.1-4.fc39.noarch 19 MB/s | 114 kB 00:00 (177/294): python3-pyyaml-6.0.1-11.fc39.aarch64 24 MB/s | 223 kB 00:00 (178/294): python3-rpm-generators-14-7.fc39.noa 5.3 MB/s | 30 kB 00:00 (179/294): python3-rpm-macros-3.12-4.fc39.noarc 1.9 MB/s | 14 kB 00:00 (180/294): python3-intervaltree-3.1.0-10.fc39.n 1.3 MB/s | 60 kB 00:00 (181/294): python3-six-1.16.0-12.fc39.noarch.rp 5.4 MB/s | 41 kB 00:00 (182/294): python3-setuptools-67.7.2-7.fc39.noa 87 MB/s | 1.5 MB 00:00 (183/294): python3-numpy-1.24.4-2.fc39.aarch64. 116 MB/s | 7.2 MB 00:00 (184/294): rhash-1.4.3-3.fc39.aarch64.rpm 24 MB/s | 192 kB 00:00 (185/294): tbb-devel-2020.3-20.fc39.aarch64.rpm 64 MB/s | 335 kB 00:00 (186/294): tcl-8.6.12-5.fc39.aarch64.rpm 109 MB/s | 1.1 MB 00:00 (187/294): tcl-devel-8.6.12-5.fc39.aarch64.rpm 28 MB/s | 169 kB 00:00 (188/294): python3-sortedcontainers-2.4.0-13.fc 1.3 MB/s | 60 kB 00:00 (189/294): tk-devel-8.6.12-5.fc39.aarch64.rpm 69 MB/s | 537 kB 00:00 (190/294): xml-common-0.6.3-61.fc39.noarch.rpm 7.4 MB/s | 31 kB 00:00 (191/294): xorg-x11-proto-devel-2023.2-2.fc39.n 54 MB/s | 298 kB 00:00 (192/294): xz-devel-5.4.4-1.fc39.aarch64.rpm 12 MB/s | 66 kB 00:00 (193/294): tk-8.6.12-5.fc39.aarch64.rpm 31 MB/s | 1.6 MB 00:00 (194/294): yaml-cpp-0.7.0-4.fc39.aarch64.rpm 5.0 MB/s | 118 kB 00:00 (195/294): zlib-devel-1.2.13-4.fc39.aarch64.rpm 13 MB/s | 45 kB 00:00 (196/294): tbb-2020.3-20.fc39.aarch64.rpm 1.7 MB/s | 140 kB 00:00 (197/294): annobin-docs-12.46-1.fc39.noarch.rpm 32 MB/s | 88 kB 00:00 (198/294): annobin-plugin-gcc-12.46-1.fc39.aarc 98 MB/s | 958 kB 00:00 (199/294): dbus-broker-35-2.fc39.aarch64.rpm 21 MB/s | 172 kB 00:00 (200/294): dnf-4.19.2-1.fc39.noarch.rpm 122 MB/s | 496 kB 00:00 (201/294): dnf-data-4.19.2-1.fc39.noarch.rpm 6.8 MB/s | 32 kB 00:00 (202/294): emacs-filesystem-29.3-1.fc39.noarch. 1.7 MB/s | 7.2 kB 00:00 (203/294): expat-2.6.2-1.fc39.aarch64.rpm 24 MB/s | 112 kB 00:00 (204/294): flexiblas-3.4.2-1.fc39.aarch64.rpm 4.1 MB/s | 25 kB 00:00 (205/294): flexiblas-openblas-openmp-3.4.2-1.fc 3.6 MB/s | 17 kB 00:00 (206/294): fontconfig-2.14.2-6.fc39.aarch64.rpm 38 MB/s | 302 kB 00:00 (207/294): flexiblas-netlib-3.4.2-1.fc39.aarch6 102 MB/s | 2.6 MB 00:00 (208/294): fontconfig-devel-2.14.2-6.fc39.aarch 14 MB/s | 164 kB 00:00 (209/294): cpp-13.2.1-7.fc39.aarch64.rpm 85 MB/s | 9.7 MB 00:00 (210/294): gcc-c++-13.2.1-7.fc39.aarch64.rpm 133 MB/s | 12 MB 00:00 (211/294): gcc-plugin-annobin-13.2.1-7.fc39.aar 2.1 MB/s | 52 kB 00:00 (212/294): git-2.44.0-1.fc39.aarch64.rpm 5.2 MB/s | 53 kB 00:00 (213/294): git-core-doc-2.44.0-1.fc39.noarch.rp 43 MB/s | 2.9 MB 00:00 (214/294): gcc-13.2.1-7.fc39.aarch64.rpm 148 MB/s | 31 MB 00:00 (215/294): git-core-2.44.0-1.fc39.aarch64.rpm 36 MB/s | 4.6 MB 00:00 (216/294): glib2-2.78.3-1.fc39.aarch64.rpm 53 MB/s | 2.8 MB 00:00 (217/294): glib2-devel-2.78.3-1.fc39.aarch64.rp 50 MB/s | 597 kB 00:00 (218/294): google-noto-fonts-common-20240101-1. 8.0 MB/s | 17 kB 00:00 (219/294): gnupg2-2.4.4-1.fc39.aarch64.rpm 204 MB/s | 2.7 MB 00:00 (220/294): google-noto-sans-vf-fonts-20240101-1 48 MB/s | 593 kB 00:00 (221/294): gnutls-3.8.5-1.fc39.aarch64.rpm 61 MB/s | 1.1 MB 00:00 (222/294): libX11-1.8.9-1.fc39.aarch64.rpm 89 MB/s | 639 kB 00:00 (223/294): kernel-headers-6.8.3-200.fc39.aarch6 139 MB/s | 1.6 MB 00:00 (224/294): groff-base-1.23.0-3.fc39.aarch64.rpm 67 MB/s | 1.1 MB 00:00 (225/294): libX11-common-1.8.9-1.fc39.noarch.rp 28 MB/s | 176 kB 00:00 (226/294): libX11-xcb-1.8.9-1.fc39.aarch64.rpm 4.3 MB/s | 12 kB 00:00 (227/294): libasan-13.2.1-7.fc39.aarch64.rpm 87 MB/s | 455 kB 00:00 (228/294): libatomic-13.2.1-7.fc39.aarch64.rpm 7.3 MB/s | 42 kB 00:00 (229/294): libX11-devel-1.8.9-1.fc39.aarch64.rp 70 MB/s | 1.0 MB 00:00 (230/294): libblkid-devel-2.39.4-1.fc39.aarch64 3.0 MB/s | 18 kB 00:00 (231/294): libcomps-0.1.20-1.fc39.aarch64.rpm 16 MB/s | 75 kB 00:00 (232/294): libdnf-0.73.1-1.fc39.aarch64.rpm 156 MB/s | 630 kB 00:00 (233/294): libgfortran-13.2.1-7.fc39.aarch64.rp 68 MB/s | 438 kB 00:00 (234/294): librepo-1.17.1-1.fc39.aarch64.rpm 30 MB/s | 97 kB 00:00 (235/294): libmount-devel-2.39.4-1.fc39.aarch64 2.9 MB/s | 18 kB 00:00 (236/294): libsolv-0.7.28-1.fc39.aarch64.rpm 180 MB/s | 405 kB 00:00 (237/294): libubsan-13.2.1-7.fc39.aarch64.rpm 56 MB/s | 209 kB 00:00 (238/294): libusb1-1.0.27-1.fc39.aarch64.rpm 16 MB/s | 76 kB 00:00 (239/294): libstdc++-devel-13.2.1-7.fc39.aarch6 165 MB/s | 2.6 MB 00:00 (240/294): libuv-1.48.0-1.fc39.aarch64.rpm 18 MB/s | 249 kB 00:00 (241/294): ncurses-6.4-7.20230520.fc39.1.aarch6 30 MB/s | 414 kB 00:00 (242/294): perl-AutoLoader-5.74-502.fc39.noarch 9.6 MB/s | 21 kB 00:00 (243/294): openssh-9.3p1-10.fc39.aarch64.rpm 56 MB/s | 431 kB 00:00 (244/294): perl-B-1.88-502.fc39.aarch64.rpm 45 MB/s | 178 kB 00:00 (245/294): openssh-clients-9.3p1-10.fc39.aarch6 67 MB/s | 729 kB 00:00 (246/294): perl-Class-Struct-0.68-502.fc39.noar 4.5 MB/s | 22 kB 00:00 (247/294): perl-DynaLoader-1.54-502.fc39.aarch6 15 MB/s | 26 kB 00:00 (248/294): perl-Errno-1.37-502.fc39.aarch64.rpm 8.3 MB/s | 15 kB 00:00 (249/294): perl-Fcntl-1.15-502.fc39.aarch64.rpm 11 MB/s | 21 kB 00:00 (250/294): perl-File-Basename-2.86-502.fc39.noa 8.9 MB/s | 17 kB 00:00 (251/294): perl-File-Find-1.43-502.fc39.noarch. 13 MB/s | 25 kB 00:00 (252/294): perl-FileHandle-2.05-502.fc39.noarch 9.6 MB/s | 16 kB 00:00 (253/294): perl-File-stat-1.13-502.fc39.noarch. 7.2 MB/s | 17 kB 00:00 (254/294): perl-Git-2.44.0-1.fc39.noarch.rpm 14 MB/s | 40 kB 00:00 (255/294): perl-IO-1.52-502.fc39.aarch64.rpm 26 MB/s | 83 kB 00:00 (256/294): perl-Getopt-Std-1.13-502.fc39.noarch 3.5 MB/s | 16 kB 00:00 (257/294): perl-IPC-Open3-1.22-502.fc39.noarch. 12 MB/s | 22 kB 00:00 (258/294): perl-SelectSaver-1.02-502.fc39.noarc 7.9 MB/s | 12 kB 00:00 (259/294): perl-POSIX-2.13-502.fc39.aarch64.rpm 40 MB/s | 98 kB 00:00 (260/294): perl-Symbol-1.09-502.fc39.noarch.rpm 9.1 MB/s | 14 kB 00:00 (261/294): perl-if-0.61.000-502.fc39.noarch.rpm 8.4 MB/s | 14 kB 00:00 (262/294): perl-base-2.27-502.fc39.noarch.rpm 6.5 MB/s | 16 kB 00:00 (263/294): perl-interpreter-5.38.2-502.fc39.aar 21 MB/s | 72 kB 00:00 (264/294): perl-lib-0.65-502.fc39.aarch64.rpm 5.7 MB/s | 15 kB 00:00 (265/294): perl-libs-5.38.2-502.fc39.aarch64.rp 214 MB/s | 2.3 MB 00:00 (266/294): perl-locale-1.10-502.fc39.noarch.rpm 1.4 MB/s | 14 kB 00:00 (267/294): perl-mro-1.28-502.fc39.aarch64.rpm 3.3 MB/s | 29 kB 00:00 (268/294): perl-overloading-0.02-502.fc39.noarc 6.9 MB/s | 13 kB 00:00 (269/294): perl-overload-1.37-502.fc39.noarch.r 15 MB/s | 46 kB 00:00 (270/294): perl-vars-1.05-502.fc39.noarch.rpm 4.7 MB/s | 13 kB 00:00 (271/294): pyproject-rpm-macros-1.12.0-1.fc39.n 15 MB/s | 41 kB 00:00 (272/294): python-pip-wheel-23.2.1-2.fc39.noarc 214 MB/s | 1.5 MB 00:00 (273/294): python3-3.12.2-2.fc39.aarch64.rpm 12 MB/s | 27 kB 00:00 (274/294): python3-devel-3.12.2-2.fc39.aarch64. 95 MB/s | 312 kB 00:00 (275/294): python3-dnf-4.19.2-1.fc39.noarch.rpm 191 MB/s | 586 kB 00:00 (276/294): python3-hawkey-0.73.1-1.fc39.aarch64 56 MB/s | 99 kB 00:00 (277/294): python3-libcomps-0.1.20-1.fc39.aarch 13 MB/s | 48 kB 00:00 (278/294): python3-libdnf-0.73.1-1.fc39.aarch64 209 MB/s | 809 kB 00:00 (279/294): pypy3.10-7.3.15-2.3.10.fc39.aarch64. 334 kB/s | 13 kB 00:00 (280/294): python3-rpm-4.19.1.1-1.fc39.aarch64. 6.3 MB/s | 68 kB 00:00 (281/294): python3-libs-3.12.2-2.fc39.aarch64.r 243 MB/s | 9.1 MB 00:00 (282/294): python3-simplejson-3.19.2-1.fc39.aar 9.0 MB/s | 162 kB 00:00 (283/294): rpm-sign-libs-4.19.1.1-1.fc39.aarch6 21 MB/s | 26 kB 00:00 (284/294): sysprof-capture-devel-45.1-1.fc39.aa 9.6 MB/s | 61 kB 00:00 (285/294): systemd-254.10-1.fc39.aarch64.rpm 296 MB/s | 4.6 MB 00:00 (286/294): systemd-pam-254.10-1.fc39.aarch64.rp 32 MB/s | 352 kB 00:00 (287/294): systemd-rpm-macros-254.10-1.fc39.noa 11 MB/s | 28 kB 00:00 (288/294): tpm2-tss-4.0.1-6.fc39.aarch64.rpm 28 MB/s | 381 kB 00:00 (289/294): tzdata-2024a-2.fc39.noarch.rpm 44 MB/s | 715 kB 00:00 (290/294): vim-filesystem-9.1.354-1.fc39.noarch 1.6 MB/s | 17 kB 00:00 (291/294): wget-1.21.4-1.fc39.aarch64.rpm 92 MB/s | 807 kB 00:00 (292/294): yum-4.19.2-1.fc39.noarch.rpm 12 MB/s | 29 kB 00:00 (293/294): zchunk-libs-1.4.0-1.fc39.aarch64.rpm 5.4 MB/s | 52 kB 00:00 (294/294): pypy3.10-libs-7.3.15-2.3.10.fc39.aar 91 MB/s | 18 MB 00:00 -------------------------------------------------------------------------------- Total 126 MB/s | 246 MB 00:01 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : boost-system-1.81.0-8.fc39.aarch64 1/294 Installing : boost-thread-1.81.0-8.fc39.aarch64 2/294 Installing : expat-2.6.2-1.fc39.aarch64 3/294 Installing : cmake-filesystem-3.27.7-1.fc39.aarch64 4/294 Installing : boost-chrono-1.81.0-8.fc39.aarch64 5/294 Installing : libicu-73.2-2.fc39.aarch64 6/294 Installing : zlib-devel-1.2.13-4.fc39.aarch64 7/294 Installing : libgpg-error-1.47-2.fc39.aarch64 8/294 Installing : xorg-x11-proto-devel-2023.2-2.fc39.noarch 9/294 Installing : boost-regex-1.81.0-8.fc39.aarch64 10/294 Installing : tcl-1:8.6.12-5.fc39.aarch64 11/294 Installing : python-rpm-macros-3.12-4.fc39.noarch 12/294 Installing : libpng-2:1.6.37-15.fc39.aarch64 13/294 Installing : libmpc-1.3.1-3.fc39.aarch64 14/294 Installing : fonts-filesystem-1:2.0.5-12.fc39.noarch 15/294 Installing : capnproto-libs-1.0.1-1.fc39.aarch64 16/294 Installing : boost-context-1.81.0-8.fc39.aarch64 17/294 Installing : boost-atomic-1.81.0-8.fc39.aarch64 18/294 Installing : boost-filesystem-1.81.0-8.fc39.aarch64 19/294 Installing : prjtrellis-data-1.4-20240129.1.git2dab0095.fc39. 20/294 Installing : python3-rpm-macros-3.12-4.fc39.noarch 21/294 Installing : libassuan-2.5.6-2.fc39.aarch64 22/294 Installing : libicu-devel-73.2-2.fc39.aarch64 23/294 Installing : zchunk-libs-1.4.0-1.fc39.aarch64 24/294 Installing : libsolv-0.7.28-1.fc39.aarch64 25/294 Installing : python-pip-wheel-23.2.1-2.fc39.noarch 26/294 Installing : libgfortran-13.2.1-7.fc39.aarch64 27/294 Installing : emacs-filesystem-1:29.3-1.fc39.noarch 28/294 Installing : yaml-cpp-0.7.0-4.fc39.aarch64 29/294 Installing : nettle-3.9.1-2.fc39.aarch64 30/294 Installing : gnutls-3.8.5-1.fc39.aarch64 31/294 Installing : glib2-2.78.3-1.fc39.aarch64 32/294 Installing : libyaml-0.2.5-12.fc39.aarch64 33/294 Installing : libmodulemd-2.15.0-5.fc39.aarch64 34/294 Installing : libXau-1.0.11-3.fc39.aarch64 35/294 Installing : libxcb-1.13.1-12.fc39.aarch64 36/294 Installing : json-c-0.17-1.fc39.aarch64 37/294 Running scriptlet: tpm2-tss-4.0.1-6.fc39.aarch64 38/294 Installing : tpm2-tss-4.0.1-6.fc39.aarch64 38/294 Installing : graphite2-1.3.14-12.fc39.aarch64 39/294 Installing : gettext-libs-0.22-2.fc39.aarch64 40/294 Installing : boost-program-options-1.81.0-8.fc39.aarch64 41/294 Installing : prjtrellis-1.4-20240129.1.git2dab0095.fc39.aarch 42/294 Installing : boost-date-time-1.81.0-8.fc39.aarch64 43/294 Installing : boost-container-1.81.0-8.fc39.aarch64 44/294 Installing : prjxray-data-0.0.1-20240427.0.git94fd2acd.fc39.n 45/294 Installing : boost-json-1.81.0-8.fc39.aarch64 46/294 Installing : boost-wave-1.81.0-8.fc39.aarch64 47/294 Installing : graphite2-devel-1.3.14-12.fc39.aarch64 48/294 Installing : ima-evm-utils-1.5-2.fc39.aarch64 49/294 Installing : libXau-devel-1.0.11-3.fc39.aarch64 50/294 Installing : libxcb-devel-1.13.1-12.fc39.aarch64 51/294 Installing : librepo-1.17.1-1.fc39.aarch64 52/294 Installing : libdnf-0.73.1-1.fc39.aarch64 53/294 Installing : pyproject-rpm-macros-1.12.0-1.fc39.noarch 54/294 Installing : boost-fiber-1.81.0-8.fc39.aarch64 55/294 Installing : boost-log-1.81.0-8.fc39.aarch64 56/294 Installing : boost-coroutine-1.81.0-8.fc39.aarch64 57/294 Installing : abattis-cantarell-vf-fonts-0.301-10.fc39.noarch 58/294 Installing : cpp-13.2.1-7.fc39.aarch64 59/294 Installing : libpng-devel-2:1.6.37-15.fc39.aarch64 60/294 Installing : tcl-devel-1:8.6.12-5.fc39.aarch64 61/294 Installing : boost-graph-1.81.0-8.fc39.aarch64 62/294 Installing : libgcrypt-1.10.2-2.fc39.aarch64 63/294 Installing : libksba-1.6.4-2.fc39.aarch64 64/294 Installing : boost-locale-1.81.0-8.fc39.aarch64 65/294 Installing : boost-timer-1.81.0-8.fc39.aarch64 66/294 Installing : boost-type_erasure-1.81.0-8.fc39.aarch64 67/294 Installing : libmetalink-0.1.3-32.fc39.aarch64 68/294 Installing : libcomps-0.1.20-1.fc39.aarch64 69/294 Installing : vim-filesystem-2:9.1.354-1.fc39.noarch 70/294 Installing : tzdata-2024a-2.fc39.noarch 71/294 Installing : sysprof-capture-devel-45.1-1.fc39.aarch64 72/294 Installing : openssh-9.3p1-10.fc39.aarch64 73/294 Installing : ncurses-6.4-7.20230520.fc39.1.aarch64 74/294 Installing : libuv-1:1.48.0-1.fc39.aarch64 75/294 Installing : libusb1-1.0.27-1.fc39.aarch64 76/294 Installing : libubsan-13.2.1-7.fc39.aarch64 77/294 Installing : libstdc++-devel-13.2.1-7.fc39.aarch64 78/294 Installing : libblkid-devel-2.39.4-1.fc39.aarch64 79/294 Installing : libatomic-13.2.1-7.fc39.aarch64 80/294 Installing : libasan-13.2.1-7.fc39.aarch64 81/294 Installing : libX11-xcb-1.8.9-1.fc39.aarch64 82/294 Installing : libX11-common-1.8.9-1.fc39.noarch 83/294 Installing : libX11-1.8.9-1.fc39.aarch64 84/294 Installing : libXrender-0.9.11-3.fc39.aarch64 85/294 Installing : libX11-devel-1.8.9-1.fc39.aarch64 86/294 Installing : libXrender-devel-0.9.11-3.fc39.aarch64 87/294 Installing : libXext-1.3.5-3.fc39.aarch64 88/294 Installing : kernel-headers-6.8.3-200.fc39.aarch64 89/294 Installing : libxcrypt-devel-4.4.36-2.fc39.aarch64 90/294 Installing : glibc-devel-2.38-99.fc39.aarch64 91/294 Running scriptlet: groff-base-1.23.0-3.fc39.aarch64 92/294 Installing : groff-base-1.23.0-3.fc39.aarch64 92/294 Running scriptlet: groff-base-1.23.0-3.fc39.aarch64 92/294 Installing : perl-Digest-1.20-500.fc39.noarch 93/294 Installing : perl-Digest-MD5-2.58-500.fc39.aarch64 94/294 Installing : perl-B-1.88-502.fc39.aarch64 95/294 Installing : perl-FileHandle-2.05-502.fc39.noarch 96/294 Installing : perl-Data-Dumper-2.188-501.fc39.aarch64 97/294 Installing : perl-libnet-3.15-501.fc39.noarch 98/294 Installing : perl-AutoLoader-5.74-502.fc39.noarch 99/294 Installing : perl-base-2.27-502.fc39.noarch 100/294 Installing : perl-URI-5.21-1.fc39.noarch 101/294 Installing : perl-Pod-Escapes-1:1.07-500.fc39.noarch 102/294 Installing : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch 103/294 Installing : perl-Time-Local-2:1.350-3.fc39.noarch 104/294 Installing : perl-Net-SSLeay-1.92-10.fc39.aarch64 105/294 Installing : perl-Mozilla-CA-20230801-1.fc39.noarch 106/294 Installing : perl-File-Path-2.18-500.fc39.noarch 107/294 Installing : perl-if-0.61.000-502.fc39.noarch 108/294 Installing : perl-locale-1.10-502.fc39.noarch 109/294 Installing : perl-IO-Socket-IP-0.42-1.fc39.noarch 110/294 Installing : perl-IO-Socket-SSL-2.083-3.fc39.noarch 111/294 Installing : perl-Term-ANSIColor-5.01-501.fc39.noarch 112/294 Installing : perl-Term-Cap-1.18-500.fc39.noarch 113/294 Installing : perl-Class-Struct-0.68-502.fc39.noarch 114/294 Installing : perl-POSIX-2.13-502.fc39.aarch64 115/294 Installing : perl-File-Temp-1:0.231.100-500.fc39.noarch 116/294 Installing : perl-HTTP-Tiny-0.088-3.fc39.noarch 117/294 Installing : perl-Pod-Simple-1:3.45-4.fc39.noarch 118/294 Installing : perl-IPC-Open3-1.22-502.fc39.noarch 119/294 Installing : perl-Socket-4:2.037-3.fc39.aarch64 120/294 Installing : perl-SelectSaver-1.02-502.fc39.noarch 121/294 Installing : perl-Symbol-1.09-502.fc39.noarch 122/294 Installing : perl-podlators-1:5.01-500.fc39.noarch 123/294 Installing : perl-Pod-Perldoc-3.28.01-501.fc39.noarch 124/294 Installing : perl-File-stat-1.13-502.fc39.noarch 125/294 Installing : perl-Text-ParseWords-3.31-500.fc39.noarch 126/294 Installing : perl-Fcntl-1.15-502.fc39.aarch64 127/294 Installing : perl-mro-1.28-502.fc39.aarch64 128/294 Installing : perl-Pod-Usage-4:2.03-500.fc39.noarch 129/294 Installing : perl-IO-1.52-502.fc39.aarch64 130/294 Installing : perl-overloading-0.02-502.fc39.noarch 131/294 Installing : perl-MIME-Base64-3.16-500.fc39.aarch64 132/294 Installing : perl-Scalar-List-Utils-5:1.63-500.fc39.aarch64 133/294 Installing : perl-constant-1.33-501.fc39.noarch 134/294 Installing : perl-parent-1:0.241-500.fc39.noarch 135/294 Installing : perl-Errno-1.37-502.fc39.aarch64 136/294 Installing : perl-File-Basename-2.86-502.fc39.noarch 137/294 Installing : perl-Getopt-Std-1.13-502.fc39.noarch 138/294 Installing : perl-Storable-1:3.32-500.fc39.aarch64 139/294 Installing : perl-Getopt-Long-1:2.54-500.fc39.noarch 140/294 Installing : perl-overload-1.37-502.fc39.noarch 141/294 Installing : perl-vars-1.05-502.fc39.noarch 142/294 Installing : perl-Exporter-5.77-500.fc39.noarch 143/294 Installing : perl-PathTools-3.89-500.fc39.aarch64 144/294 Installing : perl-Encode-4:3.19-500.fc39.aarch64 145/294 Installing : perl-DynaLoader-1.54-502.fc39.aarch64 146/294 Installing : perl-Carp-1.54-500.fc39.noarch 147/294 Installing : perl-libs-4:5.38.2-502.fc39.aarch64 148/294 Installing : perl-interpreter-4:5.38.2-502.fc39.aarch64 149/294 Installing : prjoxide-data-0-20240105.0.git30712ff9.fc39.noar 150/294 Installing : prjoxide-0-20240105.0.git30712ff9.fc39.aarch64 151/294 Installing : perl-Error-1:0.17029-13.fc39.noarch 152/294 Installing : perl-TermReadKey-2.38-18.fc39.aarch64 153/294 Installing : perl-File-Find-1.43-502.fc39.noarch 154/294 Installing : perl-lib-0.65-502.fc39.aarch64 155/294 Installing : google-noto-fonts-common-20240101-1.fc39.noarch 156/294 Installing : google-noto-sans-vf-fonts-20240101-1.fc39.noarch 157/294 Installing : default-fonts-core-sans-4.0-9.fc39.noarch 158/294 Installing : annobin-docs-12.46-1.fc39.noarch 159/294 Installing : xz-devel-5.4.4-1.fc39.aarch64 160/294 Installing : libxml2-devel-2.10.4-3.fc39.aarch64 161/294 Running scriptlet: xml-common-0.6.3-61.fc39.noarch 162/294 Installing : xml-common-0.6.3-61.fc39.noarch 162/294 Installing : tbb-2020.3-20.fc39.aarch64 163/294 Installing : rhash-1.4.3-3.fc39.aarch64 164/294 Installing : python-setuptools-wheel-67.7.2-7.fc39.noarch 165/294 Installing : pixman-0.42.2-2.fc39.aarch64 166/294 Installing : cairo-1.18.0-1.fc39.aarch64 167/294 Installing : harfbuzz-8.2.1-2.fc39.aarch64 168/294 Installing : freetype-2.13.1-2.fc39.aarch64 169/294 Installing : fontconfig-2.14.2-6.fc39.aarch64 170/294 Running scriptlet: fontconfig-2.14.2-6.fc39.aarch64 170/294 Installing : libXft-2.3.8-3.fc39.aarch64 171/294 Running scriptlet: tk-1:8.6.12-5.fc39.aarch64 172/294 Installing : tk-1:8.6.12-5.fc39.aarch64 172/294 Installing : pypy3.10-libs-7.3.15-2.3.10.fc39.aarch64 173/294 Installing : harfbuzz-icu-8.2.1-2.fc39.aarch64 174/294 Installing : pcre2-utf32-10.42-1.fc39.2.aarch64 175/294 Installing : pcre2-utf16-10.42-1.fc39.2.aarch64 176/294 Installing : pcre2-devel-10.42-1.fc39.2.aarch64 177/294 Installing : openblas-0.3.21-6.fc39.aarch64 178/294 Installing : openblas-openmp-0.3.21-6.fc39.aarch64 179/294 Installing : flexiblas-netlib-3.4.2-1.fc39.aarch64 180/294 Installing : flexiblas-3.4.2-1.fc39.aarch64 181/294 Installing : flexiblas-openblas-openmp-3.4.2-1.fc39.aarch64 182/294 Installing : npth-1.6-14.fc39.aarch64 183/294 Installing : gnupg2-2.4.4-1.fc39.aarch64 184/294 Installing : gpgme-1.20.0-5.fc39.aarch64 185/294 Installing : mpdecimal-2.5.1-7.fc39.aarch64 186/294 Installing : libtool-ltdl-2.4.7-7.fc39.aarch64 187/294 Installing : libsepol-devel-3.5-2.fc39.aarch64 188/294 Installing : libselinux-devel-3.5-5.fc39.aarch64 189/294 Installing : libmount-devel-2.39.4-1.fc39.aarch64 190/294 Installing : libseccomp-2.5.3-6.fc39.aarch64 191/294 Installing : libreport-filesystem-2.17.11-3.fc39.noarch 192/294 Installing : dnf-data-4.19.2-1.fc39.noarch 193/294 Installing : libfsverity-1.4-10.fc39.aarch64 194/294 Installing : rpm-sign-libs-4.19.1.1-1.fc39.aarch64 195/294 Installing : libffi-devel-3.4.4-4.fc39.aarch64 196/294 Installing : libedit-3.1-48.20230828cvs.fc39.aarch64 197/294 Installing : libcbor-0.10.2-2.fc39.aarch64 198/294 Installing : libfido2-1.13.0-3.fc39.aarch64 199/294 Installing : openssh-clients-9.3p1-10.fc39.aarch64 200/294 Running scriptlet: openssh-clients-9.3p1-10.fc39.aarch64 200/294 Installing : libb2-0.98.1-9.fc39.aarch64 201/294 Installing : python3-3.12.2-2.fc39.aarch64 202/294 Installing : python3-libs-3.12.2-2.fc39.aarch64 203/294 Installing : python3-libdnf-0.73.1-1.fc39.aarch64 204/294 Installing : boost-python3-1.81.0-8.fc39.aarch64 205/294 Installing : cmake-rpm-macros-3.27.7-1.fc39.noarch 206/294 Installing : python3-numpy-1:1.24.4-2.fc39.aarch64 207/294 Installing : boost-numpy3-1.81.0-8.fc39.aarch64 208/294 Installing : python3-hawkey-0.73.1-1.fc39.aarch64 209/294 Installing : python3-libcomps-0.1.20-1.fc39.aarch64 210/294 Installing : pycapnp-2.0.0-20240411.0.git78dd54e6.fc39.aarch6 211/294 Installing : python3-crc-6.1.1-1.fc39.noarch 212/294 Installing : python3-arpeggio-1.10.2-9.fc39.noarch 213/294 Installing : textx-python3-3.1.1-20230821.0.gitaab3506f.fc39. 214/294 Installing : fasm-python3-0.0.2-20220725.3.gitffafe821.fc39.n 215/294 Installing : python3-packaging-23.1-4.fc39.noarch 216/294 Installing : python3-rpm-generators-14-7.fc39.noarch 217/294 Installing : python3-pyyaml-6.0.1-11.fc39.aarch64 218/294 Installing : python3-setuptools-67.7.2-7.fc39.noarch 219/294 Installing : glib2-devel-2.78.3-1.fc39.aarch64 220/294 Installing : python3-six-1.16.0-12.fc39.noarch 221/294 Installing : pysat-0.1.7-20240418.0.git97505cb7.fc39.aarch64 222/294 Installing : python3-sortedcontainers-2.4.0-13.fc39.noarch 223/294 Installing : python3-intervaltree-3.1.0-10.fc39.noarch 224/294 Installing : python3-rpm-4.19.1.1-1.fc39.aarch64 225/294 Installing : python3-dnf-4.19.2-1.fc39.noarch 226/294 Installing : dnf-4.19.2-1.fc39.noarch 227/294 Running scriptlet: dnf-4.19.2-1.fc39.noarch 227/294 Installing : python3-simplejson-3.19.2-1.fc39.aarch64 228/294 Installing : less-633-2.fc39.aarch64 229/294 Installing : git-core-2.44.0-1.fc39.aarch64 230/294 Installing : git-core-doc-2.44.0-1.fc39.noarch 231/294 Installing : perl-Git-2.44.0-1.fc39.noarch 232/294 Installing : git-2.44.0-1.fc39.aarch64 233/294 Installing : kmod-libs-30-6.fc39.aarch64 234/294 Installing : jsoncpp-1.9.5-5.fc39.aarch64 235/294 Installing : gflags-2.2.2-12.fc39.aarch64 236/294 Installing : prjxray-0.0.1-20240427.0.git94fd2acd.fc39.aarch6 237/294 Installing : prjxray-python3-0.0.1-20240427.0.git94fd2acd.fc3 238/294 Installing : gettext-envsubst-0.22-2.fc39.aarch64 239/294 Installing : gettext-runtime-0.22-2.fc39.aarch64 240/294 Installing : gettext-0.22-2.fc39.aarch64 241/294 Installing : gc-8.2.2-4.fc39.aarch64 242/294 Installing : guile22-2.2.7-9.fc39.aarch64 243/294 Installing : make-1:4.4.1-2.fc39.aarch64 244/294 Installing : gcc-13.2.1-7.fc39.aarch64 245/294 Running scriptlet: gcc-13.2.1-7.fc39.aarch64 245/294 Installing : cmake-data-3.27.7-1.fc39.noarch 246/294 Installing : cmake-3.27.7-1.fc39.aarch64 247/294 Installing : dbus-common-1:1.14.10-1.fc39.noarch 248/294 Running scriptlet: dbus-common-1:1.14.10-1.fc39.noarch 248/294 Running scriptlet: dbus-broker-35-2.fc39.aarch64 249/294 Installing : dbus-broker-35-2.fc39.aarch64 249/294 Running scriptlet: dbus-broker-35-2.fc39.aarch64 249/294 Installing : dbus-1:1.14.10-1.fc39.aarch64 250/294 Installing : systemd-pam-254.10-1.fc39.aarch64 251/294 Installing : systemd-254.10-1.fc39.aarch64 252/294 Running scriptlet: systemd-254.10-1.fc39.aarch64 252/294 Creating group 'input' with GID 104. Creating group 'kvm' with GID 36. Creating group 'render' with GID 105. Creating group 'sgx' with GID 106. Creating group 'systemd-journal' with GID 190. Creating group 'systemd-oom' with GID 999. Creating user 'systemd-oom' (systemd Userspace OOM Killer) with UID 999 and GID 999. Installing : libftdi-1.5-10.fc39.aarch64 253/294 Installing : bzip2-devel-1.0.8-16.fc39.aarch64 254/294 Installing : brotli-1.1.0-1.fc39.aarch64 255/294 Installing : brotli-devel-1.1.0-1.fc39.aarch64 256/294 Installing : freetype-devel-2.13.1-2.fc39.aarch64 257/294 Installing : harfbuzz-devel-8.2.1-2.fc39.aarch64 258/294 Installing : fontconfig-devel-2.14.2-6.fc39.aarch64 259/294 Installing : libXft-devel-2.3.8-3.fc39.aarch64 260/294 Installing : boost-test-1.81.0-8.fc39.aarch64 261/294 Installing : boost-stacktrace-1.81.0-8.fc39.aarch64 262/294 Installing : boost-serialization-1.81.0-8.fc39.aarch64 263/294 Installing : boost-random-1.81.0-8.fc39.aarch64 264/294 Installing : boost-nowide-1.81.0-8.fc39.aarch64 265/294 Installing : boost-math-1.81.0-8.fc39.aarch64 266/294 Installing : boost-iostreams-1.81.0-8.fc39.aarch64 267/294 Installing : boost-contract-1.81.0-8.fc39.aarch64 268/294 Installing : boost-1.81.0-8.fc39.aarch64 269/294 Installing : json11-1.0.0-10.fc39.aarch64 270/294 Installing : fpga-interchange-schema-0.0-20220704.4.gitc985b4 271/294 Installing : fpga-interchange-schema-devel-0.0-20220704.4.git 272/294 Installing : json11-devel-1.0.0-10.fc39.aarch64 273/294 Installing : boost-devel-1.81.0-8.fc39.aarch64 274/294 Installing : tk-devel-1:8.6.12-5.fc39.aarch64 275/294 Installing : icestorm-0-20231212.0.git1a40ae75.fc39.aarch64 276/294 Installing : pybind11-devel-2.11.1-1.fc39.aarch64 277/294 Installing : annobin-plugin-gcc-12.46-1.fc39.aarch64 278/294 Running scriptlet: annobin-plugin-gcc-12.46-1.fc39.aarch64 278/294 Installing : gcc-c++-13.2.1-7.fc39.aarch64 279/294 Installing : gcc-plugin-annobin-13.2.1-7.fc39.aarch64 280/294 Running scriptlet: gcc-plugin-annobin-13.2.1-7.fc39.aarch64 280/294 Installing : yum-4.19.2-1.fc39.noarch 281/294 Installing : python-fpga-interchange-0.0.20-20221019.2.git04a 282/294 Installing : python3-devel-3.12.2-2.fc39.aarch64 283/294 Installing : prjapicula-0.12-20240331.0.git91807b03.fc39.noar 284/294 Installing : prjtrellis-python3-1.4-20240129.1.git2dab0095.fc 285/294 Installing : prjtrellis-devel-1.4-20240129.1.git2dab0095.fc39 286/294 Installing : wget-1.21.4-1.fc39.aarch64 287/294 Installing : pypy3.10-7.3.15-2.3.10.fc39.aarch64 288/294 Installing : tbb-devel-2020.3-20.fc39.aarch64 289/294 Installing : prjoxide-devel-0-20240105.0.git30712ff9.fc39.aar 290/294 Installing : capnproto-1.0.1-1.fc39.aarch64 291/294 Installing : capnproto-devel-1.0.1-1.fc39.aarch64 292/294 Installing : eigen3-devel-3.4.0-12.fc39.noarch 293/294 Installing : systemd-rpm-macros-254.10-1.fc39.noarch 294/294 Running scriptlet: fontconfig-2.14.2-6.fc39.aarch64 294/294 Running scriptlet: systemd-rpm-macros-254.10-1.fc39.noarch 294/294 Verifying : fasm-python3-0.0.2-20220725.3.gitffafe821.fc39.n 1/294 Verifying : fpga-interchange-schema-0.0-20220704.4.gitc985b4 2/294 Verifying : fpga-interchange-schema-devel-0.0-20220704.4.git 3/294 Verifying : icestorm-0-20231212.0.git1a40ae75.fc39.aarch64 4/294 Verifying : json11-1.0.0-10.fc39.aarch64 5/294 Verifying : json11-devel-1.0.0-10.fc39.aarch64 6/294 Verifying : prjapicula-0.12-20240331.0.git91807b03.fc39.noar 7/294 Verifying : prjoxide-0-20240105.0.git30712ff9.fc39.aarch64 8/294 Verifying : prjoxide-data-0-20240105.0.git30712ff9.fc39.noar 9/294 Verifying : prjoxide-devel-0-20240105.0.git30712ff9.fc39.aar 10/294 Verifying : prjtrellis-1.4-20240129.1.git2dab0095.fc39.aarch 11/294 Verifying : prjtrellis-data-1.4-20240129.1.git2dab0095.fc39. 12/294 Verifying : prjtrellis-devel-1.4-20240129.1.git2dab0095.fc39 13/294 Verifying : prjtrellis-python3-1.4-20240129.1.git2dab0095.fc 14/294 Verifying : prjxray-0.0.1-20240427.0.git94fd2acd.fc39.aarch6 15/294 Verifying : prjxray-data-0.0.1-20240427.0.git94fd2acd.fc39.n 16/294 Verifying : prjxray-python3-0.0.1-20240427.0.git94fd2acd.fc3 17/294 Verifying : pycapnp-2.0.0-20240411.0.git78dd54e6.fc39.aarch6 18/294 Verifying : pysat-0.1.7-20240418.0.git97505cb7.fc39.aarch64 19/294 Verifying : python-fpga-interchange-0.0.20-20221019.2.git04a 20/294 Verifying : python3-crc-6.1.1-1.fc39.noarch 21/294 Verifying : textx-python3-3.1.1-20230821.0.gitaab3506f.fc39. 22/294 Verifying : glibc-devel-2.38-99.fc39.aarch64 23/294 Verifying : abattis-cantarell-vf-fonts-0.301-10.fc39.noarch 24/294 Verifying : boost-1.81.0-8.fc39.aarch64 25/294 Verifying : boost-atomic-1.81.0-8.fc39.aarch64 26/294 Verifying : boost-chrono-1.81.0-8.fc39.aarch64 27/294 Verifying : boost-container-1.81.0-8.fc39.aarch64 28/294 Verifying : boost-context-1.81.0-8.fc39.aarch64 29/294 Verifying : boost-contract-1.81.0-8.fc39.aarch64 30/294 Verifying : boost-coroutine-1.81.0-8.fc39.aarch64 31/294 Verifying : boost-date-time-1.81.0-8.fc39.aarch64 32/294 Verifying : boost-devel-1.81.0-8.fc39.aarch64 33/294 Verifying : boost-fiber-1.81.0-8.fc39.aarch64 34/294 Verifying : boost-filesystem-1.81.0-8.fc39.aarch64 35/294 Verifying : boost-graph-1.81.0-8.fc39.aarch64 36/294 Verifying : boost-iostreams-1.81.0-8.fc39.aarch64 37/294 Verifying : boost-json-1.81.0-8.fc39.aarch64 38/294 Verifying : boost-locale-1.81.0-8.fc39.aarch64 39/294 Verifying : boost-log-1.81.0-8.fc39.aarch64 40/294 Verifying : boost-math-1.81.0-8.fc39.aarch64 41/294 Verifying : boost-nowide-1.81.0-8.fc39.aarch64 42/294 Verifying : boost-numpy3-1.81.0-8.fc39.aarch64 43/294 Verifying : boost-program-options-1.81.0-8.fc39.aarch64 44/294 Verifying : boost-python3-1.81.0-8.fc39.aarch64 45/294 Verifying : boost-random-1.81.0-8.fc39.aarch64 46/294 Verifying : boost-regex-1.81.0-8.fc39.aarch64 47/294 Verifying : boost-serialization-1.81.0-8.fc39.aarch64 48/294 Verifying : boost-stacktrace-1.81.0-8.fc39.aarch64 49/294 Verifying : boost-system-1.81.0-8.fc39.aarch64 50/294 Verifying : boost-test-1.81.0-8.fc39.aarch64 51/294 Verifying : boost-thread-1.81.0-8.fc39.aarch64 52/294 Verifying : boost-timer-1.81.0-8.fc39.aarch64 53/294 Verifying : boost-type_erasure-1.81.0-8.fc39.aarch64 54/294 Verifying : boost-wave-1.81.0-8.fc39.aarch64 55/294 Verifying : brotli-1.1.0-1.fc39.aarch64 56/294 Verifying : brotli-devel-1.1.0-1.fc39.aarch64 57/294 Verifying : bzip2-devel-1.0.8-16.fc39.aarch64 58/294 Verifying : cairo-1.18.0-1.fc39.aarch64 59/294 Verifying : capnproto-1.0.1-1.fc39.aarch64 60/294 Verifying : capnproto-devel-1.0.1-1.fc39.aarch64 61/294 Verifying : capnproto-libs-1.0.1-1.fc39.aarch64 62/294 Verifying : cmake-3.27.7-1.fc39.aarch64 63/294 Verifying : cmake-data-3.27.7-1.fc39.noarch 64/294 Verifying : cmake-filesystem-3.27.7-1.fc39.aarch64 65/294 Verifying : cmake-rpm-macros-3.27.7-1.fc39.noarch 66/294 Verifying : dbus-1:1.14.10-1.fc39.aarch64 67/294 Verifying : dbus-common-1:1.14.10-1.fc39.noarch 68/294 Verifying : default-fonts-core-sans-4.0-9.fc39.noarch 69/294 Verifying : eigen3-devel-3.4.0-12.fc39.noarch 70/294 Verifying : fonts-filesystem-1:2.0.5-12.fc39.noarch 71/294 Verifying : freetype-2.13.1-2.fc39.aarch64 72/294 Verifying : freetype-devel-2.13.1-2.fc39.aarch64 73/294 Verifying : gc-8.2.2-4.fc39.aarch64 74/294 Verifying : gettext-0.22-2.fc39.aarch64 75/294 Verifying : gettext-envsubst-0.22-2.fc39.aarch64 76/294 Verifying : gettext-libs-0.22-2.fc39.aarch64 77/294 Verifying : gettext-runtime-0.22-2.fc39.aarch64 78/294 Verifying : gflags-2.2.2-12.fc39.aarch64 79/294 Verifying : gpgme-1.20.0-5.fc39.aarch64 80/294 Verifying : graphite2-1.3.14-12.fc39.aarch64 81/294 Verifying : graphite2-devel-1.3.14-12.fc39.aarch64 82/294 Verifying : guile22-2.2.7-9.fc39.aarch64 83/294 Verifying : harfbuzz-8.2.1-2.fc39.aarch64 84/294 Verifying : harfbuzz-devel-8.2.1-2.fc39.aarch64 85/294 Verifying : harfbuzz-icu-8.2.1-2.fc39.aarch64 86/294 Verifying : ima-evm-utils-1.5-2.fc39.aarch64 87/294 Verifying : json-c-0.17-1.fc39.aarch64 88/294 Verifying : jsoncpp-1.9.5-5.fc39.aarch64 89/294 Verifying : kmod-libs-30-6.fc39.aarch64 90/294 Verifying : less-633-2.fc39.aarch64 91/294 Verifying : libXau-1.0.11-3.fc39.aarch64 92/294 Verifying : libXau-devel-1.0.11-3.fc39.aarch64 93/294 Verifying : libXext-1.3.5-3.fc39.aarch64 94/294 Verifying : libXft-2.3.8-3.fc39.aarch64 95/294 Verifying : libXft-devel-2.3.8-3.fc39.aarch64 96/294 Verifying : libXrender-0.9.11-3.fc39.aarch64 97/294 Verifying : libXrender-devel-0.9.11-3.fc39.aarch64 98/294 Verifying : libassuan-2.5.6-2.fc39.aarch64 99/294 Verifying : libb2-0.98.1-9.fc39.aarch64 100/294 Verifying : libcbor-0.10.2-2.fc39.aarch64 101/294 Verifying : libedit-3.1-48.20230828cvs.fc39.aarch64 102/294 Verifying : libffi-devel-3.4.4-4.fc39.aarch64 103/294 Verifying : libfido2-1.13.0-3.fc39.aarch64 104/294 Verifying : libfsverity-1.4-10.fc39.aarch64 105/294 Verifying : libftdi-1.5-10.fc39.aarch64 106/294 Verifying : libgcrypt-1.10.2-2.fc39.aarch64 107/294 Verifying : libgpg-error-1.47-2.fc39.aarch64 108/294 Verifying : libicu-73.2-2.fc39.aarch64 109/294 Verifying : libicu-devel-73.2-2.fc39.aarch64 110/294 Verifying : libksba-1.6.4-2.fc39.aarch64 111/294 Verifying : libmetalink-0.1.3-32.fc39.aarch64 112/294 Verifying : libmodulemd-2.15.0-5.fc39.aarch64 113/294 Verifying : libmpc-1.3.1-3.fc39.aarch64 114/294 Verifying : libpng-2:1.6.37-15.fc39.aarch64 115/294 Verifying : libpng-devel-2:1.6.37-15.fc39.aarch64 116/294 Verifying : libreport-filesystem-2.17.11-3.fc39.noarch 117/294 Verifying : libseccomp-2.5.3-6.fc39.aarch64 118/294 Verifying : libselinux-devel-3.5-5.fc39.aarch64 119/294 Verifying : libsepol-devel-3.5-2.fc39.aarch64 120/294 Verifying : libtool-ltdl-2.4.7-7.fc39.aarch64 121/294 Verifying : libxcb-1.13.1-12.fc39.aarch64 122/294 Verifying : libxcb-devel-1.13.1-12.fc39.aarch64 123/294 Verifying : libxcrypt-devel-4.4.36-2.fc39.aarch64 124/294 Verifying : libxml2-devel-2.10.4-3.fc39.aarch64 125/294 Verifying : libyaml-0.2.5-12.fc39.aarch64 126/294 Verifying : make-1:4.4.1-2.fc39.aarch64 127/294 Verifying : mpdecimal-2.5.1-7.fc39.aarch64 128/294 Verifying : nettle-3.9.1-2.fc39.aarch64 129/294 Verifying : npth-1.6-14.fc39.aarch64 130/294 Verifying : openblas-0.3.21-6.fc39.aarch64 131/294 Verifying : openblas-openmp-0.3.21-6.fc39.aarch64 132/294 Verifying : pcre2-devel-10.42-1.fc39.2.aarch64 133/294 Verifying : pcre2-utf16-10.42-1.fc39.2.aarch64 134/294 Verifying : pcre2-utf32-10.42-1.fc39.2.aarch64 135/294 Verifying : perl-Carp-1.54-500.fc39.noarch 136/294 Verifying : perl-Data-Dumper-2.188-501.fc39.aarch64 137/294 Verifying : perl-Digest-1.20-500.fc39.noarch 138/294 Verifying : perl-Digest-MD5-2.58-500.fc39.aarch64 139/294 Verifying : perl-Encode-4:3.19-500.fc39.aarch64 140/294 Verifying : perl-Error-1:0.17029-13.fc39.noarch 141/294 Verifying : perl-Exporter-5.77-500.fc39.noarch 142/294 Verifying : perl-File-Path-2.18-500.fc39.noarch 143/294 Verifying : perl-File-Temp-1:0.231.100-500.fc39.noarch 144/294 Verifying : perl-Getopt-Long-1:2.54-500.fc39.noarch 145/294 Verifying : perl-HTTP-Tiny-0.088-3.fc39.noarch 146/294 Verifying : perl-IO-Socket-IP-0.42-1.fc39.noarch 147/294 Verifying : perl-IO-Socket-SSL-2.083-3.fc39.noarch 148/294 Verifying : perl-MIME-Base64-3.16-500.fc39.aarch64 149/294 Verifying : perl-Mozilla-CA-20230801-1.fc39.noarch 150/294 Verifying : perl-Net-SSLeay-1.92-10.fc39.aarch64 151/294 Verifying : perl-PathTools-3.89-500.fc39.aarch64 152/294 Verifying : perl-Pod-Escapes-1:1.07-500.fc39.noarch 153/294 Verifying : perl-Pod-Perldoc-3.28.01-501.fc39.noarch 154/294 Verifying : perl-Pod-Simple-1:3.45-4.fc39.noarch 155/294 Verifying : perl-Pod-Usage-4:2.03-500.fc39.noarch 156/294 Verifying : perl-Scalar-List-Utils-5:1.63-500.fc39.aarch64 157/294 Verifying : perl-Socket-4:2.037-3.fc39.aarch64 158/294 Verifying : perl-Storable-1:3.32-500.fc39.aarch64 159/294 Verifying : perl-Term-ANSIColor-5.01-501.fc39.noarch 160/294 Verifying : perl-Term-Cap-1.18-500.fc39.noarch 161/294 Verifying : perl-TermReadKey-2.38-18.fc39.aarch64 162/294 Verifying : perl-Text-ParseWords-3.31-500.fc39.noarch 163/294 Verifying : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch 164/294 Verifying : perl-Time-Local-2:1.350-3.fc39.noarch 165/294 Verifying : perl-URI-5.21-1.fc39.noarch 166/294 Verifying : perl-constant-1.33-501.fc39.noarch 167/294 Verifying : perl-libnet-3.15-501.fc39.noarch 168/294 Verifying : perl-parent-1:0.241-500.fc39.noarch 169/294 Verifying : perl-podlators-1:5.01-500.fc39.noarch 170/294 Verifying : pixman-0.42.2-2.fc39.aarch64 171/294 Verifying : pybind11-devel-2.11.1-1.fc39.aarch64 172/294 Verifying : python-rpm-macros-3.12-4.fc39.noarch 173/294 Verifying : python-setuptools-wheel-67.7.2-7.fc39.noarch 174/294 Verifying : python3-arpeggio-1.10.2-9.fc39.noarch 175/294 Verifying : python3-intervaltree-3.1.0-10.fc39.noarch 176/294 Verifying : python3-numpy-1:1.24.4-2.fc39.aarch64 177/294 Verifying : python3-packaging-23.1-4.fc39.noarch 178/294 Verifying : python3-pyyaml-6.0.1-11.fc39.aarch64 179/294 Verifying : python3-rpm-generators-14-7.fc39.noarch 180/294 Verifying : python3-rpm-macros-3.12-4.fc39.noarch 181/294 Verifying : python3-setuptools-67.7.2-7.fc39.noarch 182/294 Verifying : python3-six-1.16.0-12.fc39.noarch 183/294 Verifying : python3-sortedcontainers-2.4.0-13.fc39.noarch 184/294 Verifying : rhash-1.4.3-3.fc39.aarch64 185/294 Verifying : tbb-2020.3-20.fc39.aarch64 186/294 Verifying : tbb-devel-2020.3-20.fc39.aarch64 187/294 Verifying : tcl-1:8.6.12-5.fc39.aarch64 188/294 Verifying : tcl-devel-1:8.6.12-5.fc39.aarch64 189/294 Verifying : tk-1:8.6.12-5.fc39.aarch64 190/294 Verifying : tk-devel-1:8.6.12-5.fc39.aarch64 191/294 Verifying : xml-common-0.6.3-61.fc39.noarch 192/294 Verifying : xorg-x11-proto-devel-2023.2-2.fc39.noarch 193/294 Verifying : xz-devel-5.4.4-1.fc39.aarch64 194/294 Verifying : yaml-cpp-0.7.0-4.fc39.aarch64 195/294 Verifying : zlib-devel-1.2.13-4.fc39.aarch64 196/294 Verifying : annobin-docs-12.46-1.fc39.noarch 197/294 Verifying : annobin-plugin-gcc-12.46-1.fc39.aarch64 198/294 Verifying : cpp-13.2.1-7.fc39.aarch64 199/294 Verifying : dbus-broker-35-2.fc39.aarch64 200/294 Verifying : dnf-4.19.2-1.fc39.noarch 201/294 Verifying : dnf-data-4.19.2-1.fc39.noarch 202/294 Verifying : emacs-filesystem-1:29.3-1.fc39.noarch 203/294 Verifying : expat-2.6.2-1.fc39.aarch64 204/294 Verifying : flexiblas-3.4.2-1.fc39.aarch64 205/294 Verifying : flexiblas-netlib-3.4.2-1.fc39.aarch64 206/294 Verifying : flexiblas-openblas-openmp-3.4.2-1.fc39.aarch64 207/294 Verifying : fontconfig-2.14.2-6.fc39.aarch64 208/294 Verifying : fontconfig-devel-2.14.2-6.fc39.aarch64 209/294 Verifying : gcc-13.2.1-7.fc39.aarch64 210/294 Verifying : gcc-c++-13.2.1-7.fc39.aarch64 211/294 Verifying : gcc-plugin-annobin-13.2.1-7.fc39.aarch64 212/294 Verifying : git-2.44.0-1.fc39.aarch64 213/294 Verifying : git-core-2.44.0-1.fc39.aarch64 214/294 Verifying : git-core-doc-2.44.0-1.fc39.noarch 215/294 Verifying : glib2-2.78.3-1.fc39.aarch64 216/294 Verifying : glib2-devel-2.78.3-1.fc39.aarch64 217/294 Verifying : gnupg2-2.4.4-1.fc39.aarch64 218/294 Verifying : gnutls-3.8.5-1.fc39.aarch64 219/294 Verifying : google-noto-fonts-common-20240101-1.fc39.noarch 220/294 Verifying : google-noto-sans-vf-fonts-20240101-1.fc39.noarch 221/294 Verifying : groff-base-1.23.0-3.fc39.aarch64 222/294 Verifying : kernel-headers-6.8.3-200.fc39.aarch64 223/294 Verifying : libX11-1.8.9-1.fc39.aarch64 224/294 Verifying : libX11-common-1.8.9-1.fc39.noarch 225/294 Verifying : libX11-devel-1.8.9-1.fc39.aarch64 226/294 Verifying : libX11-xcb-1.8.9-1.fc39.aarch64 227/294 Verifying : libasan-13.2.1-7.fc39.aarch64 228/294 Verifying : libatomic-13.2.1-7.fc39.aarch64 229/294 Verifying : libblkid-devel-2.39.4-1.fc39.aarch64 230/294 Verifying : libcomps-0.1.20-1.fc39.aarch64 231/294 Verifying : libdnf-0.73.1-1.fc39.aarch64 232/294 Verifying : libgfortran-13.2.1-7.fc39.aarch64 233/294 Verifying : libmount-devel-2.39.4-1.fc39.aarch64 234/294 Verifying : librepo-1.17.1-1.fc39.aarch64 235/294 Verifying : libsolv-0.7.28-1.fc39.aarch64 236/294 Verifying : libstdc++-devel-13.2.1-7.fc39.aarch64 237/294 Verifying : libubsan-13.2.1-7.fc39.aarch64 238/294 Verifying : libusb1-1.0.27-1.fc39.aarch64 239/294 Verifying : libuv-1:1.48.0-1.fc39.aarch64 240/294 Verifying : ncurses-6.4-7.20230520.fc39.1.aarch64 241/294 Verifying : openssh-9.3p1-10.fc39.aarch64 242/294 Verifying : openssh-clients-9.3p1-10.fc39.aarch64 243/294 Verifying : perl-AutoLoader-5.74-502.fc39.noarch 244/294 Verifying : perl-B-1.88-502.fc39.aarch64 245/294 Verifying : perl-Class-Struct-0.68-502.fc39.noarch 246/294 Verifying : perl-DynaLoader-1.54-502.fc39.aarch64 247/294 Verifying : perl-Errno-1.37-502.fc39.aarch64 248/294 Verifying : perl-Fcntl-1.15-502.fc39.aarch64 249/294 Verifying : perl-File-Basename-2.86-502.fc39.noarch 250/294 Verifying : perl-File-Find-1.43-502.fc39.noarch 251/294 Verifying : perl-File-stat-1.13-502.fc39.noarch 252/294 Verifying : perl-FileHandle-2.05-502.fc39.noarch 253/294 Verifying : perl-Getopt-Std-1.13-502.fc39.noarch 254/294 Verifying : perl-Git-2.44.0-1.fc39.noarch 255/294 Verifying : perl-IO-1.52-502.fc39.aarch64 256/294 Verifying : perl-IPC-Open3-1.22-502.fc39.noarch 257/294 Verifying : perl-POSIX-2.13-502.fc39.aarch64 258/294 Verifying : perl-SelectSaver-1.02-502.fc39.noarch 259/294 Verifying : perl-Symbol-1.09-502.fc39.noarch 260/294 Verifying : perl-base-2.27-502.fc39.noarch 261/294 Verifying : perl-if-0.61.000-502.fc39.noarch 262/294 Verifying : perl-interpreter-4:5.38.2-502.fc39.aarch64 263/294 Verifying : perl-lib-0.65-502.fc39.aarch64 264/294 Verifying : perl-libs-4:5.38.2-502.fc39.aarch64 265/294 Verifying : perl-locale-1.10-502.fc39.noarch 266/294 Verifying : perl-mro-1.28-502.fc39.aarch64 267/294 Verifying : perl-overload-1.37-502.fc39.noarch 268/294 Verifying : perl-overloading-0.02-502.fc39.noarch 269/294 Verifying : perl-vars-1.05-502.fc39.noarch 270/294 Verifying : pyproject-rpm-macros-1.12.0-1.fc39.noarch 271/294 Verifying : pypy3.10-7.3.15-2.3.10.fc39.aarch64 272/294 Verifying : pypy3.10-libs-7.3.15-2.3.10.fc39.aarch64 273/294 Verifying : python-pip-wheel-23.2.1-2.fc39.noarch 274/294 Verifying : python3-3.12.2-2.fc39.aarch64 275/294 Verifying : python3-devel-3.12.2-2.fc39.aarch64 276/294 Verifying : python3-dnf-4.19.2-1.fc39.noarch 277/294 Verifying : python3-hawkey-0.73.1-1.fc39.aarch64 278/294 Verifying : python3-libcomps-0.1.20-1.fc39.aarch64 279/294 Verifying : python3-libdnf-0.73.1-1.fc39.aarch64 280/294 Verifying : python3-libs-3.12.2-2.fc39.aarch64 281/294 Verifying : python3-rpm-4.19.1.1-1.fc39.aarch64 282/294 Verifying : python3-simplejson-3.19.2-1.fc39.aarch64 283/294 Verifying : rpm-sign-libs-4.19.1.1-1.fc39.aarch64 284/294 Verifying : sysprof-capture-devel-45.1-1.fc39.aarch64 285/294 Verifying : systemd-254.10-1.fc39.aarch64 286/294 Verifying : systemd-pam-254.10-1.fc39.aarch64 287/294 Verifying : systemd-rpm-macros-254.10-1.fc39.noarch 288/294 Verifying : tpm2-tss-4.0.1-6.fc39.aarch64 289/294 Verifying : tzdata-2024a-2.fc39.noarch 290/294 Verifying : vim-filesystem-2:9.1.354-1.fc39.noarch 291/294 Verifying : wget-1.21.4-1.fc39.aarch64 292/294 Verifying : yum-4.19.2-1.fc39.noarch 293/294 Verifying : zchunk-libs-1.4.0-1.fc39.aarch64 294/294 Installed: abattis-cantarell-vf-fonts-0.301-10.fc39.noarch annobin-docs-12.46-1.fc39.noarch annobin-plugin-gcc-12.46-1.fc39.aarch64 boost-1.81.0-8.fc39.aarch64 boost-atomic-1.81.0-8.fc39.aarch64 boost-chrono-1.81.0-8.fc39.aarch64 boost-container-1.81.0-8.fc39.aarch64 boost-context-1.81.0-8.fc39.aarch64 boost-contract-1.81.0-8.fc39.aarch64 boost-coroutine-1.81.0-8.fc39.aarch64 boost-date-time-1.81.0-8.fc39.aarch64 boost-devel-1.81.0-8.fc39.aarch64 boost-fiber-1.81.0-8.fc39.aarch64 boost-filesystem-1.81.0-8.fc39.aarch64 boost-graph-1.81.0-8.fc39.aarch64 boost-iostreams-1.81.0-8.fc39.aarch64 boost-json-1.81.0-8.fc39.aarch64 boost-locale-1.81.0-8.fc39.aarch64 boost-log-1.81.0-8.fc39.aarch64 boost-math-1.81.0-8.fc39.aarch64 boost-nowide-1.81.0-8.fc39.aarch64 boost-numpy3-1.81.0-8.fc39.aarch64 boost-program-options-1.81.0-8.fc39.aarch64 boost-python3-1.81.0-8.fc39.aarch64 boost-random-1.81.0-8.fc39.aarch64 boost-regex-1.81.0-8.fc39.aarch64 boost-serialization-1.81.0-8.fc39.aarch64 boost-stacktrace-1.81.0-8.fc39.aarch64 boost-system-1.81.0-8.fc39.aarch64 boost-test-1.81.0-8.fc39.aarch64 boost-thread-1.81.0-8.fc39.aarch64 boost-timer-1.81.0-8.fc39.aarch64 boost-type_erasure-1.81.0-8.fc39.aarch64 boost-wave-1.81.0-8.fc39.aarch64 brotli-1.1.0-1.fc39.aarch64 brotli-devel-1.1.0-1.fc39.aarch64 bzip2-devel-1.0.8-16.fc39.aarch64 cairo-1.18.0-1.fc39.aarch64 capnproto-1.0.1-1.fc39.aarch64 capnproto-devel-1.0.1-1.fc39.aarch64 capnproto-libs-1.0.1-1.fc39.aarch64 cmake-3.27.7-1.fc39.aarch64 cmake-data-3.27.7-1.fc39.noarch cmake-filesystem-3.27.7-1.fc39.aarch64 cmake-rpm-macros-3.27.7-1.fc39.noarch cpp-13.2.1-7.fc39.aarch64 dbus-1:1.14.10-1.fc39.aarch64 dbus-broker-35-2.fc39.aarch64 dbus-common-1:1.14.10-1.fc39.noarch default-fonts-core-sans-4.0-9.fc39.noarch dnf-4.19.2-1.fc39.noarch dnf-data-4.19.2-1.fc39.noarch eigen3-devel-3.4.0-12.fc39.noarch emacs-filesystem-1:29.3-1.fc39.noarch expat-2.6.2-1.fc39.aarch64 fasm-python3-0.0.2-20220725.3.gitffafe821.fc39.noarch flexiblas-3.4.2-1.fc39.aarch64 flexiblas-netlib-3.4.2-1.fc39.aarch64 flexiblas-openblas-openmp-3.4.2-1.fc39.aarch64 fontconfig-2.14.2-6.fc39.aarch64 fontconfig-devel-2.14.2-6.fc39.aarch64 fonts-filesystem-1:2.0.5-12.fc39.noarch fpga-interchange-schema-0.0-20220704.4.gitc985b464.fc39.aarch64 fpga-interchange-schema-devel-0.0-20220704.4.gitc985b464.fc39.aarch64 freetype-2.13.1-2.fc39.aarch64 freetype-devel-2.13.1-2.fc39.aarch64 gc-8.2.2-4.fc39.aarch64 gcc-13.2.1-7.fc39.aarch64 gcc-c++-13.2.1-7.fc39.aarch64 gcc-plugin-annobin-13.2.1-7.fc39.aarch64 gettext-0.22-2.fc39.aarch64 gettext-envsubst-0.22-2.fc39.aarch64 gettext-libs-0.22-2.fc39.aarch64 gettext-runtime-0.22-2.fc39.aarch64 gflags-2.2.2-12.fc39.aarch64 git-2.44.0-1.fc39.aarch64 git-core-2.44.0-1.fc39.aarch64 git-core-doc-2.44.0-1.fc39.noarch glib2-2.78.3-1.fc39.aarch64 glib2-devel-2.78.3-1.fc39.aarch64 glibc-devel-2.38-99.fc39.aarch64 gnupg2-2.4.4-1.fc39.aarch64 gnutls-3.8.5-1.fc39.aarch64 google-noto-fonts-common-20240101-1.fc39.noarch google-noto-sans-vf-fonts-20240101-1.fc39.noarch gpgme-1.20.0-5.fc39.aarch64 graphite2-1.3.14-12.fc39.aarch64 graphite2-devel-1.3.14-12.fc39.aarch64 groff-base-1.23.0-3.fc39.aarch64 guile22-2.2.7-9.fc39.aarch64 harfbuzz-8.2.1-2.fc39.aarch64 harfbuzz-devel-8.2.1-2.fc39.aarch64 harfbuzz-icu-8.2.1-2.fc39.aarch64 icestorm-0-20231212.0.git1a40ae75.fc39.aarch64 ima-evm-utils-1.5-2.fc39.aarch64 json-c-0.17-1.fc39.aarch64 json11-1.0.0-10.fc39.aarch64 json11-devel-1.0.0-10.fc39.aarch64 jsoncpp-1.9.5-5.fc39.aarch64 kernel-headers-6.8.3-200.fc39.aarch64 kmod-libs-30-6.fc39.aarch64 less-633-2.fc39.aarch64 libX11-1.8.9-1.fc39.aarch64 libX11-common-1.8.9-1.fc39.noarch libX11-devel-1.8.9-1.fc39.aarch64 libX11-xcb-1.8.9-1.fc39.aarch64 libXau-1.0.11-3.fc39.aarch64 libXau-devel-1.0.11-3.fc39.aarch64 libXext-1.3.5-3.fc39.aarch64 libXft-2.3.8-3.fc39.aarch64 libXft-devel-2.3.8-3.fc39.aarch64 libXrender-0.9.11-3.fc39.aarch64 libXrender-devel-0.9.11-3.fc39.aarch64 libasan-13.2.1-7.fc39.aarch64 libassuan-2.5.6-2.fc39.aarch64 libatomic-13.2.1-7.fc39.aarch64 libb2-0.98.1-9.fc39.aarch64 libblkid-devel-2.39.4-1.fc39.aarch64 libcbor-0.10.2-2.fc39.aarch64 libcomps-0.1.20-1.fc39.aarch64 libdnf-0.73.1-1.fc39.aarch64 libedit-3.1-48.20230828cvs.fc39.aarch64 libffi-devel-3.4.4-4.fc39.aarch64 libfido2-1.13.0-3.fc39.aarch64 libfsverity-1.4-10.fc39.aarch64 libftdi-1.5-10.fc39.aarch64 libgcrypt-1.10.2-2.fc39.aarch64 libgfortran-13.2.1-7.fc39.aarch64 libgpg-error-1.47-2.fc39.aarch64 libicu-73.2-2.fc39.aarch64 libicu-devel-73.2-2.fc39.aarch64 libksba-1.6.4-2.fc39.aarch64 libmetalink-0.1.3-32.fc39.aarch64 libmodulemd-2.15.0-5.fc39.aarch64 libmount-devel-2.39.4-1.fc39.aarch64 libmpc-1.3.1-3.fc39.aarch64 libpng-2:1.6.37-15.fc39.aarch64 libpng-devel-2:1.6.37-15.fc39.aarch64 librepo-1.17.1-1.fc39.aarch64 libreport-filesystem-2.17.11-3.fc39.noarch libseccomp-2.5.3-6.fc39.aarch64 libselinux-devel-3.5-5.fc39.aarch64 libsepol-devel-3.5-2.fc39.aarch64 libsolv-0.7.28-1.fc39.aarch64 libstdc++-devel-13.2.1-7.fc39.aarch64 libtool-ltdl-2.4.7-7.fc39.aarch64 libubsan-13.2.1-7.fc39.aarch64 libusb1-1.0.27-1.fc39.aarch64 libuv-1:1.48.0-1.fc39.aarch64 libxcb-1.13.1-12.fc39.aarch64 libxcb-devel-1.13.1-12.fc39.aarch64 libxcrypt-devel-4.4.36-2.fc39.aarch64 libxml2-devel-2.10.4-3.fc39.aarch64 libyaml-0.2.5-12.fc39.aarch64 make-1:4.4.1-2.fc39.aarch64 mpdecimal-2.5.1-7.fc39.aarch64 ncurses-6.4-7.20230520.fc39.1.aarch64 nettle-3.9.1-2.fc39.aarch64 npth-1.6-14.fc39.aarch64 openblas-0.3.21-6.fc39.aarch64 openblas-openmp-0.3.21-6.fc39.aarch64 openssh-9.3p1-10.fc39.aarch64 openssh-clients-9.3p1-10.fc39.aarch64 pcre2-devel-10.42-1.fc39.2.aarch64 pcre2-utf16-10.42-1.fc39.2.aarch64 pcre2-utf32-10.42-1.fc39.2.aarch64 perl-AutoLoader-5.74-502.fc39.noarch perl-B-1.88-502.fc39.aarch64 perl-Carp-1.54-500.fc39.noarch perl-Class-Struct-0.68-502.fc39.noarch perl-Data-Dumper-2.188-501.fc39.aarch64 perl-Digest-1.20-500.fc39.noarch perl-Digest-MD5-2.58-500.fc39.aarch64 perl-DynaLoader-1.54-502.fc39.aarch64 perl-Encode-4:3.19-500.fc39.aarch64 perl-Errno-1.37-502.fc39.aarch64 perl-Error-1:0.17029-13.fc39.noarch perl-Exporter-5.77-500.fc39.noarch perl-Fcntl-1.15-502.fc39.aarch64 perl-File-Basename-2.86-502.fc39.noarch perl-File-Find-1.43-502.fc39.noarch perl-File-Path-2.18-500.fc39.noarch perl-File-Temp-1:0.231.100-500.fc39.noarch perl-File-stat-1.13-502.fc39.noarch perl-FileHandle-2.05-502.fc39.noarch perl-Getopt-Long-1:2.54-500.fc39.noarch perl-Getopt-Std-1.13-502.fc39.noarch perl-Git-2.44.0-1.fc39.noarch perl-HTTP-Tiny-0.088-3.fc39.noarch perl-IO-1.52-502.fc39.aarch64 perl-IO-Socket-IP-0.42-1.fc39.noarch perl-IO-Socket-SSL-2.083-3.fc39.noarch perl-IPC-Open3-1.22-502.fc39.noarch perl-MIME-Base64-3.16-500.fc39.aarch64 perl-Mozilla-CA-20230801-1.fc39.noarch perl-Net-SSLeay-1.92-10.fc39.aarch64 perl-POSIX-2.13-502.fc39.aarch64 perl-PathTools-3.89-500.fc39.aarch64 perl-Pod-Escapes-1:1.07-500.fc39.noarch perl-Pod-Perldoc-3.28.01-501.fc39.noarch perl-Pod-Simple-1:3.45-4.fc39.noarch perl-Pod-Usage-4:2.03-500.fc39.noarch perl-Scalar-List-Utils-5:1.63-500.fc39.aarch64 perl-SelectSaver-1.02-502.fc39.noarch perl-Socket-4:2.037-3.fc39.aarch64 perl-Storable-1:3.32-500.fc39.aarch64 perl-Symbol-1.09-502.fc39.noarch perl-Term-ANSIColor-5.01-501.fc39.noarch perl-Term-Cap-1.18-500.fc39.noarch perl-TermReadKey-2.38-18.fc39.aarch64 perl-Text-ParseWords-3.31-500.fc39.noarch perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch perl-Time-Local-2:1.350-3.fc39.noarch perl-URI-5.21-1.fc39.noarch perl-base-2.27-502.fc39.noarch perl-constant-1.33-501.fc39.noarch perl-if-0.61.000-502.fc39.noarch perl-interpreter-4:5.38.2-502.fc39.aarch64 perl-lib-0.65-502.fc39.aarch64 perl-libnet-3.15-501.fc39.noarch perl-libs-4:5.38.2-502.fc39.aarch64 perl-locale-1.10-502.fc39.noarch perl-mro-1.28-502.fc39.aarch64 perl-overload-1.37-502.fc39.noarch perl-overloading-0.02-502.fc39.noarch perl-parent-1:0.241-500.fc39.noarch perl-podlators-1:5.01-500.fc39.noarch perl-vars-1.05-502.fc39.noarch pixman-0.42.2-2.fc39.aarch64 prjapicula-0.12-20240331.0.git91807b03.fc39.noarch prjoxide-0-20240105.0.git30712ff9.fc39.aarch64 prjoxide-data-0-20240105.0.git30712ff9.fc39.noarch prjoxide-devel-0-20240105.0.git30712ff9.fc39.aarch64 prjtrellis-1.4-20240129.1.git2dab0095.fc39.aarch64 prjtrellis-data-1.4-20240129.1.git2dab0095.fc39.noarch prjtrellis-devel-1.4-20240129.1.git2dab0095.fc39.aarch64 prjtrellis-python3-1.4-20240129.1.git2dab0095.fc39.aarch64 prjxray-0.0.1-20240427.0.git94fd2acd.fc39.aarch64 prjxray-data-0.0.1-20240427.0.git94fd2acd.fc39.noarch prjxray-python3-0.0.1-20240427.0.git94fd2acd.fc39.aarch64 pybind11-devel-2.11.1-1.fc39.aarch64 pycapnp-2.0.0-20240411.0.git78dd54e6.fc39.aarch64 pyproject-rpm-macros-1.12.0-1.fc39.noarch pypy3.10-7.3.15-2.3.10.fc39.aarch64 pypy3.10-libs-7.3.15-2.3.10.fc39.aarch64 pysat-0.1.7-20240418.0.git97505cb7.fc39.aarch64 python-fpga-interchange-0.0.20-20221019.2.git04a02101.fc39.noarch python-pip-wheel-23.2.1-2.fc39.noarch python-rpm-macros-3.12-4.fc39.noarch python-setuptools-wheel-67.7.2-7.fc39.noarch python3-3.12.2-2.fc39.aarch64 python3-arpeggio-1.10.2-9.fc39.noarch python3-crc-6.1.1-1.fc39.noarch python3-devel-3.12.2-2.fc39.aarch64 python3-dnf-4.19.2-1.fc39.noarch python3-hawkey-0.73.1-1.fc39.aarch64 python3-intervaltree-3.1.0-10.fc39.noarch python3-libcomps-0.1.20-1.fc39.aarch64 python3-libdnf-0.73.1-1.fc39.aarch64 python3-libs-3.12.2-2.fc39.aarch64 python3-numpy-1:1.24.4-2.fc39.aarch64 python3-packaging-23.1-4.fc39.noarch python3-pyyaml-6.0.1-11.fc39.aarch64 python3-rpm-4.19.1.1-1.fc39.aarch64 python3-rpm-generators-14-7.fc39.noarch python3-rpm-macros-3.12-4.fc39.noarch python3-setuptools-67.7.2-7.fc39.noarch python3-simplejson-3.19.2-1.fc39.aarch64 python3-six-1.16.0-12.fc39.noarch python3-sortedcontainers-2.4.0-13.fc39.noarch rhash-1.4.3-3.fc39.aarch64 rpm-sign-libs-4.19.1.1-1.fc39.aarch64 sysprof-capture-devel-45.1-1.fc39.aarch64 systemd-254.10-1.fc39.aarch64 systemd-pam-254.10-1.fc39.aarch64 systemd-rpm-macros-254.10-1.fc39.noarch tbb-2020.3-20.fc39.aarch64 tbb-devel-2020.3-20.fc39.aarch64 tcl-1:8.6.12-5.fc39.aarch64 tcl-devel-1:8.6.12-5.fc39.aarch64 textx-python3-3.1.1-20230821.0.gitaab3506f.fc39.noarch tk-1:8.6.12-5.fc39.aarch64 tk-devel-1:8.6.12-5.fc39.aarch64 tpm2-tss-4.0.1-6.fc39.aarch64 tzdata-2024a-2.fc39.noarch vim-filesystem-2:9.1.354-1.fc39.noarch wget-1.21.4-1.fc39.aarch64 xml-common-0.6.3-61.fc39.noarch xorg-x11-proto-devel-2023.2-2.fc39.noarch xz-devel-5.4.4-1.fc39.aarch64 yaml-cpp-0.7.0-4.fc39.aarch64 yum-4.19.2-1.fc39.noarch zchunk-libs-1.4.0-1.fc39.aarch64 zlib-devel-1.2.13-4.fc39.aarch64 Complete! Finish: build setup for nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm Start: rpmbuild nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.jd2QBc + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf nextpnr + /usr/bin/mkdir -p nextpnr + cd nextpnr + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/YosysHQ/nextpnr.git . Cloning into '.'... + git fetch --depth 1 origin f085950383155a745cf2e3c0f28c468d01ff5fd7 From https://github.com/YosysHQ/nextpnr * branch f085950383155a745cf2e3c0f28c468d01ff5fd7 -> FETCH_HEAD + git reset --hard f085950383155a745cf2e3c0f28c468d01ff5fd7 HEAD is now at f085950 Fixed header files for boost 1.85.0 + git submodule update --init --depth 1 himbaechel/uarch/xilinx/meta Submodule 'himbaechel/uarch/xilinx/meta' (https://github.com/gatecat/nextpnr-xilinx-meta) registered for path 'himbaechel/uarch/xilinx/meta' Cloning into '/builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/meta'... Submodule path 'himbaechel/uarch/xilinx/meta': checked out '57de9216639b0670949664cfdc61b2679064eb7b' + git log --format=fuller commit f085950383155a745cf2e3c0f28c468d01ff5fd7 Author: Patrick Dähne AuthorDate: Fri Apr 26 15:34:12 2024 +0200 Commit: myrtle CommitDate: Tue Apr 30 12:13:11 2024 +0200 Fixed header files for boost 1.85.0 + cd /builddir/build/BUILD + /usr/bin/mkdir -p nextpnr + cd nextpnr + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -rf 3rdparty/json11 + rm -rf 3rdparty/pybind11 + rm -rf 3rdparty/googletest + rm -rf 3rdparty/abseil-cpp + rm -rf 3rdparty/fpga-interchange-schema + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + sed -i /safe_integer.hpp/d fpga_interchange/lookahead.cc + sed -i /abseil-cpp/d CMakeLists.txt + sed -i 's|absl::flat_hash_set|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|absl::flat_hash_map|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|set(EXTRA_LIB_DEPS)|set(EXTRA_LIB_DEPS json11)|' CMakeLists.txt + sed -i '/3rdparty\/fpga-interchange-schema/d' fpga_interchange/family.cmake + sed -i '1i include_directories(/usr/include/interchange)' fpga_interchange/family.cmake + sed -i '1i #include ' common/kernel/hashlib.h + sed -i -e 's|/lib/|/lib64/|g' ecp5/CMakeLists.txt + sed -i -e 's|share/icebox|share/icestorm|g' ice40/CMakeLists.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.r33sFT + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON . -Wno-dev -DCMAKE_SKIP_RPATH=ON -DCMAKE_VERBOSE_MAKEFILE=OFF -DCMAKE_BUILD_TYPE=RelWithDebInfo -DPython3_EXECUTABLE=/usr/bin/python3 '-DARCH=generic;fpga_interchange;himbaechel;ice40;ecp5;nexus;gowin;machxo2' -DHIMBAECHEL_GOWIN_DEVICES=all '-DHIMBAECHEL_XILINX_DEVICES=xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020' -DHIMBAECHEL_PRJXRAY_DB=/usr/share/xray/database/ -DBUILD_GUI=OFF -DUSE_OPENMP=ON -DPRJOXIDE_PREFIX=/usr -DOXIDE_INSTALL_PREFIX=/usr -DTRELLIS_INSTALL_PREFIX=/usr -DICESTORM_INSTALL_PREFIX=/usr -DRAPIDWRIGHT_PATH=/usr/share/rapidwright -DPYTHON_INTERCHANGE_PATH=/usr/lib/python3.12/site-packages/fpga_interchange -DINTERCHANGE_SCHEMA_PATH=/usr/include/interchange -- The CXX compiler identification is GNU 13.2.1 -- The C compiler identification is GNU 13.2.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3 (found suitable version "3.12.2", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.2", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.81.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.81.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: fpga_interchange -- Found Tclsh: /bin/tclsh (found version "8.6") -- Found TCL: /usr/lib64/libtcl.so -- Found TCLTK: /usr/lib64/libtcl.so -- Found TK: /usr/lib64/libtk.so -- Found ZLIB: /usr/lib64/libz.so (found version "1.2.13") -- Configuring architecture: himbaechel -- Configuring Himbaechel-Example uarch -- Enabled Himbaechel-Example devices: -- Configuring Himbaechel-Gowin uarch -- Found Python3: /usr/bin/python3 (found suitable version "3.12.2", minimum required is "3.5") found components: Interpreter -- Enabled Himbaechel-Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-4;GW2A-18;GW2A-18C -- Apycula install prefix: (using system Python) -- Configuring Xilinx uarch -- Enabled Himbaechel-Xilinx devices: xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020 -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Searching for pytrellis in: /usr/local/lib;/usr/lib;//lib;/usr/lib;/usr/lib;/usr/X11R6/lib;/usr/pkg/lib;/opt/lib;/usr/lib/X11 -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr/ecp5/chipdb -- Configuring architecture: nexus -- Enabled Nexus families: LIFCL -- prjoxide install prefix: /usr -- Using Nexus chipdb: /builddir/build/BUILD/nextpnr/nexus/chipdb -- Configuring architecture: gowin -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Using Gowin chipdb: /builddir/build/BUILD/nextpnr/gowin/chipdb -- Configuring architecture: machxo2 -- Enabled MachXO2/XO3 devices: 1200;6900 -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using MachXO2/XO3 chipdb: /builddir/build/BUILD/nextpnr/machxo2/chipdb -- Configuring done (3.1s) -- Generating done (0.2s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr + /usr/bin/cmake --build . -j4 --verbose Change Dir: '/builddir/build/BUILD/nextpnr' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j4 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr -B/builddir/build/BUILD/nextpnr --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr/CMakeFiles /builddir/build/BUILD/nextpnr//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend /usr/bin/gmake -f CMakeFiles/extra_capnp.dir/build.make CMakeFiles/extra_capnp.dir/depend /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/depend /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-himbaechel.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ice40 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ice40 /builddir/build/BUILD/nextpnr/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" [ 0%] Compiling Cap'n Proto schema fpga_interchange/lookahead.capnp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/build /usr/bin/capnp compile -o /usr/bin/capnpc-c++:. --src-prefix /builddir/build/BUILD/nextpnr -I /builddir/build/BUILD/nextpnr -I /usr/include /builddir/build/BUILD/nextpnr/fpga_interchange/lookahead.capnp /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 0%] Generating chipdb/chipdb-384.bba [ 0%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new [ 0%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/extra_capnp.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/extra_capnp.dir/build.make CMakeFiles/extra_capnp.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 0%] Building CXX object CMakeFiles/extra_capnp.dir/fpga_interchange/lookahead.capnp.c++.o /usr/bin/g++ -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/extra_capnp.dir/fpga_interchange/lookahead.capnp.c++.o -MF CMakeFiles/extra_capnp.dir/fpga_interchange/lookahead.capnp.c++.o.d -o CMakeFiles/extra_capnp.dir/fpga_interchange/lookahead.capnp.c++.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/lookahead.capnp.c++ [ 2%] Linking CXX static library libextra_capnp.a /usr/bin/cmake -P CMakeFiles/extra_capnp.dir/cmake_clean_target.cmake /usr/bin/cmake -E cmake_link_script CMakeFiles/extra_capnp.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libextra_capnp.a CMakeFiles/extra_capnp.dir/fpga_interchange/lookahead.capnp.c++.o "/usr/bin/gcc-ranlib" libextra_capnp.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 2%] Built target extra_capnp /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/bba /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/bba /builddir/build/BUILD/nextpnr/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 2%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /builddir/build/BUILD/nextpnr/bba && /usr/bin/g++ -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr/bba/main.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 2%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 5%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 5%] Built target bbasm /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr/himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Nothing to be done for 'himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 5%] Built target chipdb-himbaechel-example /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-1.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-1 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NZ-1.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NZ-1 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-4.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-4 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 5%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin [ 8%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9C.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9C -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba [ 8%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin [ 8%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NS-4.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NS-4 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba [ 8%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin [ 8%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba [ 8%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 8%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin [ 11%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18C.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18C -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 11%] Built target chipdb-himbaechel-gowin /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 11%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a100t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a100t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 14%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 14%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc Processing nodes... Deduplicating tile shapes... 7680 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin [ 17%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a200t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a200t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/arch.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr/generic/arch.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/arch_pybindings.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/generic/arch_pybindings.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/himbaechel_api.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr/generic/cells.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/himbaechel_helpers.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr/generic/main.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/main.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr/generic/pack.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/example/example.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct_api.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/cst.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct_helpers.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/example/example.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/globals.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/okami/okami.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/fabulous.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_utils.cc [ 26%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/fasm.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/pack.cc [ 26%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/pack.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/cells.cc [ 26%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -I/usr/include/interchange -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/validity_check.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/fasm.cc [ 26%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_carry.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_clocking.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_dram.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_io.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pins.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xdc.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xilinx.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xilinx_place.cc [ 26%] Linking CXX executable nextpnr-himbaechel /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o" -o nextpnr-himbaechel -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 26%] Built target nextpnr-generic cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 26%] Built target chipdb-ice40-bbas /usr/bin/gmake -f CMakeFiles/nextpnr-fpga_interchange.dir/build.make CMakeFiles/nextpnr-fpga_interchange.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-fpga_interchange.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-fpga_interchange.dir/build.make CMakeFiles/nextpnr-fpga_interchange.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 26%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 29%] Built target nextpnr-himbaechel /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 29%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 29%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 29%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 29%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 29%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 32%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ecp5 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ecp5 /builddir/build/BUILD/nextpnr/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 32%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 32%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 32%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 32%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 32%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 32%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 32%] Built target chipdb-ice40-bins [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 35%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-384.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 35%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-1k.cc [ 35%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-5k.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 35%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-u4k.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 35%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-8k.cc cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 35%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new [ 35%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/arch.cc [ 38%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_clusters.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_clusters.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_clusters.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_clusters.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/arch_pack_clusters.cc [ 38%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_io.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_io.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_io.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_io.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/arch_pack_io.cc [ 38%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_place_constr.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_place_constr.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_place_constr.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_place_constr.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/arch_place_constr.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 41%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 44%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/arch_pybindings.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 44%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cell_parameters.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cell_parameters.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cell_parameters.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cell_parameters.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/cell_parameters.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 44%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cost_map.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cost_map.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cost_map.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cost_map.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/cost_map.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 44%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/dedicated_interconnect.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/dedicated_interconnect.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/dedicated_interconnect.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/dedicated_interconnect.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/dedicated_interconnect.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 44%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/fpga_interchange.cpp.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/fpga_interchange.cpp.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/fpga_interchange.cpp.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/fpga_interchange.cpp.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/fpga_interchange.cpp [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 44%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/globals.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/globals.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/globals.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/globals.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/globals.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 47%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/lookahead.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/lookahead.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/lookahead.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/lookahead.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/lookahead.cc [ 47%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/luts.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/luts.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/luts.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/luts.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/luts.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 47%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/macros.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/macros.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/macros.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/macros.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/macros.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 47%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/main.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/main.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/main.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/main.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/main.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 47%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/pseudo_pip_model.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/pseudo_pip_model.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/pseudo_pip_model.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/pseudo_pip_model.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/pseudo_pip_model.cc cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 47%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 47%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/sampler.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/sampler.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/sampler.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/sampler.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/sampler.cc [ 50%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_arch.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_arch.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_arch.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_arch.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/site_arch.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 50%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_lut_mapping_cache.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_lut_mapping_cache.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_lut_mapping_cache.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_lut_mapping_cache.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/site_lut_mapping_cache.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 50%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_router.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_router.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_router.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_router.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/site_router.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 50%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_routing_cache.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_routing_cache.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_routing_cache.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_routing_cache.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/site_routing_cache.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 50%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/type_wire.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/type_wire.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/type_wire.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/type_wire.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/type_wire.cc [ 50%] Building CXX object CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/xdc.cc.o /usr/bin/g++ -DARCHNAME=fpga_interchange -DARCH_FPGA_INTERCHANGE -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_fpga_interchange -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/fpga_interchange -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/xdc.cc.o -MF CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/xdc.cc.o.d -o CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/xdc.cc.o -c /builddir/build/BUILD/nextpnr/fpga_interchange/xdc.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 50%] Linking CXX executable nextpnr-fpga_interchange /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-fpga_interchange.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_clusters.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pack_io.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_place_constr.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/arch_pybindings.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cell_parameters.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/cost_map.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/dedicated_interconnect.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/fpga_interchange.cpp.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/globals.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/lookahead.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/luts.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/macros.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/main.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/pseudo_pip_model.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/sampler.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_arch.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_lut_mapping_cache.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_router.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/site_routing_cache.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/type_wire.cc.o" "CMakeFiles/nextpnr-fpga_interchange.dir/fpga_interchange/xdc.cc.o" -o nextpnr-fpga_interchange /usr/lib64/libtcl.so -lfpga_interchange_capnp libextra_capnp.a -lz -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so /usr/lib64/libcapnp.so.1.0.1 /usr/lib64/libkj.so.1.0.1 -lpthread [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch_place.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch_pybindings.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/ice40/bitstream.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr/ice40/cells.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chains.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr/ice40/delay.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr/ice40/gfx.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr/ice40/main.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr/ice40/pack.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr/ice40/pcf.cc [ 55%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 55%] Built target chipdb-ecp5-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 55%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 55%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 55%] Built target nextpnr-fpga_interchange [ 55%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 55%] Built target chipdb-ecp5-bins /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/nexus /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/nexus /builddir/build/BUILD/nextpnr/nexus/CMakeFiles/chipdb-nexus-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 55%] Generating chipdb/chipdb-LIFCL.bba cd /builddir/build/BUILD/nextpnr/nexus && /usr/bin/prjoxide bba-export LIFCL /builddir/build/BUILD/nextpnr/nexus/constids.inc chipdb/chipdb-LIFCL.bba.new cd /builddir/build/BUILD/nextpnr/nexus && /usr/bin/cmake -E rename chipdb/chipdb-LIFCL.bba.new chipdb/chipdb-LIFCL.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 55%] Built target chipdb-nexus-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 58%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-25k.cc [ 58%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-45k.cc [ 58%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 58%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-nexus-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 58%] Generating nexus/chipdb/chipdb-LIFCL.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/nexus/chipdb/chipdb-LIFCL.bba nexus/chipdb/chipdb-LIFCL.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 58%] Built target chipdb-nexus-bins /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/gowin /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/gowin /builddir/build/BUILD/nextpnr/gowin/CMakeFiles/chipdb-gowin-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 58%] Generating chipdb/chipdb-GW1N-1.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-1 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-1.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-1.bba.new chipdb/chipdb-GW1N-1.bba [ 58%] Generating chipdb/chipdb-GW1NZ-1.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NZ-1 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NZ-1.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NZ-1.bba.new chipdb/chipdb-GW1NZ-1.bba [ 58%] Generating chipdb/chipdb-GW1N-4.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-4 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-4.bba.new /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-4.bba.new chipdb/chipdb-GW1N-4.bba [ 58%] Generating chipdb/chipdb-GW1N-9.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9.bba.new chipdb/chipdb-GW1N-9.bba [ 58%] Generating chipdb/chipdb-GW1N-9C.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9C -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9C.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9C.bba.new chipdb/chipdb-GW1N-9C.bba [ 58%] Generating chipdb/chipdb-GW1NS-2.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-2 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-2.bba.new [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-2.bba.new chipdb/chipdb-GW1NS-2.bba [ 61%] Generating chipdb/chipdb-GW1NS-4.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-4 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-4.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-4.bba.new chipdb/chipdb-GW1NS-4.bba [ 61%] Generating chipdb/chipdb-GW2A-18.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW2A-18 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW2A-18.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW2A-18.bba.new chipdb/chipdb-GW2A-18.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 61%] Built target chipdb-gowin-bbas [ 61%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a50t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a50t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 61%] Built target nextpnr-ice40 /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 61%] Building CXX object CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -MF CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o.d -o CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -c /builddir/build/BUILD/nextpnr/nexus/chipdb/chipdb-LIFCL.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin [ 61%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7k70t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7k70t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 61%] Built target chipdb-nexus /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-gowin-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 61%] Generating gowin/chipdb/chipdb-GW1N-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-1.bba gowin/chipdb/chipdb-GW1N-1.cc [ 61%] Generating gowin/chipdb/chipdb-GW1NZ-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.bba gowin/chipdb/chipdb-GW1NZ-1.cc [ 61%] Generating gowin/chipdb/chipdb-GW1N-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-4.bba gowin/chipdb/chipdb-GW1N-4.cc [ 61%] Generating gowin/chipdb/chipdb-GW1N-9.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9.bba gowin/chipdb/chipdb-GW1N-9.cc [ 61%] Generating gowin/chipdb/chipdb-GW1N-9C.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9C.bba gowin/chipdb/chipdb-GW1N-9C.cc [ 61%] Generating gowin/chipdb/chipdb-GW1NS-2.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-2.bba gowin/chipdb/chipdb-GW1NS-2.cc [ 61%] Generating gowin/chipdb/chipdb-GW1NS-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-4.bba gowin/chipdb/chipdb-GW1NS-4.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 61%] Generating gowin/chipdb/chipdb-GW2A-18.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW2A-18.bba gowin/chipdb/chipdb-GW2A-18.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 61%] Built target chipdb-gowin-bins [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/machxo2 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/machxo2 /builddir/build/BUILD/nextpnr/machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 64%] Generating chipdb/chipdb-1200.bba cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr/machxo2/gfx.h 1200 > chipdb/chipdb-1200.bba.new [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc Processing nodes... Deduplicating tile shapes... 6246 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin [ 64%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7s50.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7s50 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-1200.bba.new chipdb/chipdb-1200.bba [ 64%] Generating chipdb/chipdb-6900.bba cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr/machxo2/gfx.h 6900 > chipdb/chipdb-6900.bba.new [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin [ 64%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z010.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z010 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc Processing nodes... Deduplicating tile shapes... 3034 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin [ 64%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z020.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z020 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc Processing nodes... Deduplicating tile shapes... 7413 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch_place.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch_pybindings.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/baseconfigs.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/bitstream.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/cells.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/config.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/gfx.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/globals.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/lpf.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/main.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/pack.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/pio.cc /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 70%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 76%] Built target nextpnr-ecp5 [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 76%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch.cc cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-6900.bba.new chipdb/chipdb-6900.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 76%] Built target chipdb-machxo2-bbas /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-1.cc [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.cc [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-4.cc [ 79%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch_place.cc [ 79%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9.cc [ 79%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch_pybindings.cc [ 79%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9C.cc [ 79%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -c /builddir/build/BUILD/nextpnr/nexus/fasm.cc [ 79%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-2.cc [ 79%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-4.cc [ 79%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -c /builddir/build/BUILD/nextpnr/nexus/global.cc [ 79%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW2A-18.cc [ 79%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -c /builddir/build/BUILD/nextpnr/nexus/io.cc [ 79%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -c /builddir/build/BUILD/nextpnr/nexus/main.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 82%] Built target chipdb-gowin /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-machxo2-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 82%] Generating machxo2/chipdb/chipdb-1200.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-1200.bba machxo2/chipdb/chipdb-1200.cc [ 82%] Generating machxo2/chipdb/chipdb-6900.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-6900.bba machxo2/chipdb/chipdb-6900.cc [ 82%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pack.cc [ 82%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pdc.cc [ 82%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pins.cc [ 82%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -c /builddir/build/BUILD/nextpnr/nexus/post_place.cc /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 82%] Linking CXX executable nextpnr-nexus /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-nexus.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o" "CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o" -o nextpnr-nexus -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 85%] Built target chipdb-machxo2-bins /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 85%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-1200.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 85%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-6900.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 85%] Built target chipdb-machxo2 [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 88%] Built target nextpnr-nexus /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 88%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 88%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 88%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 88%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -c /builddir/build/BUILD/nextpnr/gowin/arch.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/gowin/arch_pybindings.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -c /builddir/build/BUILD/nextpnr/gowin/cells.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr/gowin/cst.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -c /builddir/build/BUILD/nextpnr/gowin/gfx.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr/gowin/globals.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -c /builddir/build/BUILD/nextpnr/gowin/main.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 91%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr/gowin/pack.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 94%] Linking CXX executable nextpnr-gowin /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o" -o nextpnr-gowin -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 97%] Built target nextpnr-gowin [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch_place.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch_pybindings.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/baseconfigs.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/bitstream.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/cells.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/config.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/gfx.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/globals.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/lpf.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/main.cc [100%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/usr/include/interchange -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/pack.cc [100%] Linking CXX executable nextpnr-machxo2 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-machxo2.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o" -o nextpnr-machxo2 -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [100%] Built target nextpnr-machxo2 Processing nodes... Deduplicating tile shapes... 12613 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [100%] Built target chipdb-himbaechel-xilinx gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr/CMakeFiles 0 + mkdir -p examples/ice40 examples/ecp5 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.gjh87Y + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 ++ dirname /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + DESTDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 + /usr/bin/cmake --install . -- Install configuration: "RelWithDebInfo" -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-fpga_interchange -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-himbaechel -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-ecp5 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-nexus -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-gowin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/bin/nextpnr-machxo2 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/example -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18C.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NS-4.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9C.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-4.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NZ-1.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-1.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a200t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z020.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z010.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7s50.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7k70t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a50t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a100t.bin + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 0.7-20240430.0.gitf0859503.fc39 --unique-debug-suffix -0.7-20240430.0.gitf0859503.fc39.aarch64 --unique-debug-src-base nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr find-debuginfo: starting Extracting debug info from 8 files DWARF-compressing 8 files sepdebugcrcfix: Updated 8 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 2372549 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.oLBAOI + umask 022 + cd /builddir/build/BUILD + cd nextpnr + DOCDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/doc/nextpnr + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/README.md /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/docs /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/examples /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.edBJQI + umask 022 + cd /builddir/build/BUILD + cd nextpnr + LICENSEDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/licenses/nextpnr + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/COPYING /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-imgui.txt /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-qtimgui.txt /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-python-console.txt /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: nextpnr = 1:0.7-20240430.0.gitf0859503.fc39 nextpnr(aarch-64) = 1:0.7-20240430.0.gitf0859503.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libboost_filesystem.so.1.81.0()(64bit) libboost_iostreams.so.1.81.0()(64bit) libboost_program_options.so.1.81.0()(64bit) libboost_thread.so.1.81.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libcapnp.so.1.0.1()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libjson11.so.0()(64bit) libkj.so.1.0.1()(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtbb.so.2()(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-0.7-20240430.0.gitf0859503.fc39.aarch64 Provides: nextpnr-debugsource = 1:0.7-20240430.0.gitf0859503.fc39 nextpnr-debugsource(aarch-64) = 1:0.7-20240430.0.gitf0859503.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-0.7-20240430.0.gitf0859503.fc39.aarch64 Provides: debuginfo(build-id) = 011c3cc4cb2d07e1fc18ae2c987dae978ad6d537 debuginfo(build-id) = 3e7efe246ea730a027abf0f093832629be23310e debuginfo(build-id) = 60c9c15e34605adbdb01a3b3165b63fa0a659f51 debuginfo(build-id) = 7e85bc444586339819d4b82263c0edd84531eb98 debuginfo(build-id) = b2099b0b1388d9ebc856d85efe1fccde0dd84f4c debuginfo(build-id) = bfe17b2f64338a33fa984ad4682593130b9ed13d debuginfo(build-id) = d820f6ac3bddff54a0a5d83bdb2812dad716e5c5 debuginfo(build-id) = f26194a5991bdb61012c79c25824136141ca482e nextpnr-debuginfo = 1:0.7-20240430.0.gitf0859503.fc39 nextpnr-debuginfo(aarch-64) = 1:0.7-20240430.0.gitf0859503.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(aarch-64) = 1:0.7-20240430.0.gitf0859503.fc39 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 Wrote: /builddir/build/RPMS/nextpnr-debuginfo-0.7-20240430.0.gitf0859503.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-0.7-20240430.0.gitf0859503.fc39.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.LdUdfw + umask 022 + cd /builddir/build/BUILD + cd nextpnr + /usr/bin/rm -rf /builddir/build/BUILDROOT/nextpnr-0.7-20240430.0.gitf0859503.fc39.aarch64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.9PmzsW + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + rm -rf nextpnr nextpnr.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm Finish: build phase for nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log/dnf.rpm.log /var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-aarch64-1714525352.459299/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20240430.0.gitf0859503.fc39.src.rpm) Config(child) 44 minutes 39 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20240430.0.gitf0859503.fc39", "arch": "src" }, { "name": "nextpnr-debugsource", "epoch": 1, "version": "0.7", "release": "20240430.0.gitf0859503.fc39", "arch": "aarch64" }, { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20240430.0.gitf0859503.fc39", "arch": "aarch64" }, { "name": "nextpnr-debuginfo", "epoch": 1, "version": "0.7", "release": "20240430.0.gitf0859503.fc39", "arch": "aarch64" } ] } RPMResults finished