Warning: Permanently added '44.203.0.16' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/8103415-fedora-39-aarch64 --chroot fedora-39-aarch64 Version: 0.73 PID: 7126 Logging PID: 7127 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 8103415, 'buildroot_pkgs': [], 'chroot': 'fedora-39-aarch64', 'enable_net': True, 'fedora_review': False, 'git_hash': '88fe259d3e6d8943ef81c8773dfb2f17a227b4be', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1:0.7-20241002.0.git268b32c3', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-39-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-39-aarch64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-39-aarch64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'rezso', 'tags': [], 'task_id': '8103415-fedora-39-aarch64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr'... Running: git checkout 88fe259d3e6d8943ef81c8773dfb2f17a227b4be -- cmd: ['git', 'checkout', '88fe259d3e6d8943ef81c8773dfb2f17a227b4be', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr rc: 0 stdout: stderr: Note: switching to '88fe259d3e6d8943ef81c8773dfb2f17a227b4be'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 88fe259 automatic import of nextpnr Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727898127.440753 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727898127.440753 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr/nextpnr.spec) Config(fedora-39-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-bootstrap-1727898127.440753/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:39 INFO: Pulling image: registry.fedoraproject.org/fedora:39 INFO: Copy content of container registry.fedoraproject.org/fedora:39 to /var/lib/mock/fedora-39-aarch64-bootstrap-1727898127.440753/root INFO: Checking that registry.fedoraproject.org/fedora:39 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:39 with podman image mount INFO: image registry.fedoraproject.org/fedora:39 as /var/lib/containers/storage/overlay/240eda0b1e7a0eb00583b802945e8fa3a4e1b4fe088605b8f98a5dce670fa6dc/merged INFO: umounting image registry.fedoraproject.org/fedora:39 (/var/lib/containers/storage/overlay/240eda0b1e7a0eb00583b802945e8fa3a4e1b4fe088605b8f98a5dce670fa6dc/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 11 MB/s | 1.3 MB 00:00 Additional repo copr_rezso_ML 24 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_CUDA 1.5 MB/s | 72 kB 00:00 Additional repo http_developer_download_nvidia_ 228 MB/s | 4.0 MB 00:00 Additional repo http_developer_download_nvidia_ 178 MB/s | 2.4 MB 00:00 Additional repo http_developer_download_nvidia_ 147 MB/s | 1.8 MB 00:00 fedora 57 MB/s | 86 MB 00:01 updates 33 MB/s | 40 MB 00:01 Package python3-dnf-4.21.1-1.fc39.noarch is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: python3-dnf-plugins-core noarch 4.9.0-1.fc39 updates 320 k Installing dependencies: dbus-libs aarch64 1:1.14.10-1.fc39 fedora 156 k python3-dateutil noarch 1:2.8.2-10.fc39 fedora 355 k python3-dbus aarch64 1.3.2-4.fc39 fedora 157 k python3-distro noarch 1.8.0-6.fc39 fedora 49 k python3-six noarch 1.16.0-12.fc39 fedora 41 k python3-systemd aarch64 235-5.fc39 fedora 107 k Transaction Summary ================================================================================ Install 7 Packages Total download size: 1.2 M Installed size: 4.8 M Downloading Packages: (1/7): python3-dbus-1.3.2-4.fc39.aarch64.rpm 288 kB/s | 157 kB 00:00 (2/7): dbus-libs-1.14.10-1.fc39.aarch64.rpm 285 kB/s | 156 kB 00:00 (3/7): python3-dateutil-2.8.2-10.fc39.noarch.rp 580 kB/s | 355 kB 00:00 (4/7): python3-distro-1.8.0-6.fc39.noarch.rpm 718 kB/s | 49 kB 00:00 (5/7): python3-six-1.16.0-12.fc39.noarch.rpm 602 kB/s | 41 kB 00:00 (6/7): python3-dnf-plugins-core-4.9.0-1.fc39.no 5.6 MB/s | 320 kB 00:00 (7/7): python3-systemd-235-5.fc39.aarch64.rpm 1.5 MB/s | 107 kB 00:00 -------------------------------------------------------------------------------- Total 1.4 MB/s | 1.2 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : python3-systemd-235-5.fc39.aarch64 1/7 Installing : python3-six-1.16.0-12.fc39.noarch 2/7 Installing : python3-dateutil-1:2.8.2-10.fc39.noarch 3/7 Installing : python3-distro-1.8.0-6.fc39.noarch 4/7 Installing : dbus-libs-1:1.14.10-1.fc39.aarch64 5/7 Installing : python3-dbus-1.3.2-4.fc39.aarch64 6/7 Installing : python3-dnf-plugins-core-4.9.0-1.fc39.noarch 7/7 Running scriptlet: python3-dnf-plugins-core-4.9.0-1.fc39.noarch 7/7 Verifying : dbus-libs-1:1.14.10-1.fc39.aarch64 1/7 Verifying : python3-dateutil-1:2.8.2-10.fc39.noarch 2/7 Verifying : python3-dbus-1.3.2-4.fc39.aarch64 3/7 Verifying : python3-distro-1.8.0-6.fc39.noarch 4/7 Verifying : python3-six-1.16.0-12.fc39.noarch 5/7 Verifying : python3-systemd-235-5.fc39.aarch64 6/7 Verifying : python3-dnf-plugins-core-4.9.0-1.fc39.noarch 7/7 Installed: dbus-libs-1:1.14.10-1.fc39.aarch64 python3-dateutil-1:2.8.2-10.fc39.noarch python3-dbus-1.3.2-4.fc39.aarch64 python3-distro-1.8.0-6.fc39.noarch python3-dnf-plugins-core-4.9.0-1.fc39.noarch python3-six-1.16.0-12.fc39.noarch python3-systemd-235-5.fc39.aarch64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-1727898127.440753/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.7.0-1.fc39.aarch64 python3-dnf-4.21.1-1.fc39.noarch python3-dnf-plugins-core-4.9.0-1.fc39.noarch yum-4.21.1-1.fc39.noarch Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 28 MB/s | 1.3 MB 00:00 Additional repo copr_rezso_ML 27 MB/s | 1.1 MB 00:00 Additional repo copr_rezso_CUDA 2.0 MB/s | 72 kB 00:00 Additional repo http_developer_download_nvidia_ 211 MB/s | 4.0 MB 00:00 Additional repo http_developer_download_nvidia_ 170 MB/s | 2.4 MB 00:00 Additional repo http_developer_download_nvidia_ 139 MB/s | 1.8 MB 00:00 fedora 38 MB/s | 86 MB 00:02 updates 45 MB/s | 40 MB 00:00 Dependencies resolved. =========================================================================================== Package Arch Version Repository Size =========================================================================================== Installing group/module packages: bash aarch64 5.2.26-1.fc39 updates 1.8 M bzip2 aarch64 1.0.8-16.fc39 fedora 52 k coreutils aarch64 9.3-6.fc39 updates 1.2 M cpio aarch64 2.14-4.fc39 fedora 277 k diffutils aarch64 3.10-3.fc39 fedora 396 k fedora-release-common noarch 39-36 updates 19 k findutils aarch64 1:4.9.0-6.fc39 updates 494 k gawk aarch64 5.2.2-2.fc39 fedora 1.1 M glibc-minimal-langpack aarch64 2.38-99.fc39 copr_rezso_ML 67 k grep aarch64 3.11-3.fc39 fedora 295 k gzip aarch64 1.12-6.fc39 fedora 164 k info aarch64 7.0.3-3.fc39 fedora 179 k patch aarch64 2.7.6-22.fc39 fedora 123 k redhat-rpm-config noarch 266-1.fc39 updates 78 k rpm-build aarch64 4.19.1.1-1.fc39 updates 79 k sed aarch64 4.8-14.fc39 fedora 304 k shadow-utils aarch64 2:4.14.0-2.fc39 updates 1.3 M tar aarch64 2:1.35-2.fc39 fedora 854 k unzip aarch64 6.0-62.fc39 fedora 183 k util-linux aarch64 2.39.4-1.fc39 updates 1.2 M which aarch64 2.21-40.fc39 fedora 42 k xz aarch64 5.4.4-1.fc39 fedora 556 k Installing dependencies: alternatives aarch64 1.26-1.fc39 updates 38 k ansible-srpm-macros noarch 1-12.fc39 updates 21 k audit-libs aarch64 3.1.5-1.fc39 updates 124 k authselect aarch64 1.4.3-1.fc39 fedora 150 k authselect-libs aarch64 1.4.3-1.fc39 fedora 249 k basesystem noarch 11-18.fc39 fedora 7.2 k binutils aarch64 2.40-14.fc39 updates 6.1 M binutils-gold aarch64 2.40-14.fc39 updates 945 k bzip2-libs aarch64 1.0.8-16.fc39 fedora 43 k ca-certificates noarch 2023.2.60_v7.0.306-2.fc39 fedora 837 k coreutils-common aarch64 9.3-6.fc39 updates 2.1 M cracklib aarch64 2.9.11-2.fc39 fedora 94 k crypto-policies noarch 20231204-1.git1e3a2e4.fc39 updates 100 k curl aarch64 8.2.1-5.fc39 updates 340 k cyrus-sasl-lib aarch64 2.1.28-11.fc39 fedora 781 k debugedit aarch64 5.0-12.fc39 updates 78 k dwz aarch64 0.15-3.fc39 fedora 136 k ed aarch64 1.19-4.fc39 fedora 78 k efi-srpm-macros noarch 5-9.fc39 fedora 22 k elfutils aarch64 0.191-2.fc39 updates 560 k elfutils-debuginfod-client aarch64 0.191-2.fc39 updates 38 k elfutils-default-yama-scope noarch 0.191-2.fc39 updates 13 k elfutils-libelf aarch64 0.191-2.fc39 updates 209 k elfutils-libs aarch64 0.191-2.fc39 updates 263 k fedora-gpg-keys noarch 39-2 updates 130 k fedora-release noarch 39-36 updates 8.6 k fedora-release-identity-basic noarch 39-36 updates 9.4 k fedora-repos noarch 39-2 updates 9.3 k file aarch64 5.44-5.fc39 fedora 49 k file-libs aarch64 5.44-5.fc39 fedora 729 k filesystem aarch64 3.18-6.fc39 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-12.fc39 fedora 26 k forge-srpm-macros noarch 0.3.1-1.fc39 updates 19 k fpc-srpm-macros noarch 1.3-8.fc39 fedora 7.4 k gdb-minimal aarch64 15.1-1.fc39 updates 3.9 M gdbm-libs aarch64 1:1.23-4.fc39 fedora 56 k ghc-srpm-macros noarch 1.6.1-2.fc39 fedora 7.8 k glibc aarch64 2.38-99.fc39 copr_rezso_ML 1.7 M glibc-common aarch64 2.38-99.fc39 copr_rezso_ML 338 k glibc-gconv-extra aarch64 2.38-99.fc39 copr_rezso_ML 1.9 M gmp aarch64 1:6.2.1-5.fc39 fedora 266 k gnat-srpm-macros noarch 6-3.fc39 fedora 8.8 k go-srpm-macros noarch 3.5.0-1.fc39 updates 28 k jansson aarch64 2.13.1-7.fc39 fedora 46 k kernel-srpm-macros noarch 1.0-20.fc39 fedora 10 k keyutils-libs aarch64 1.6.3-1.fc39 updates 32 k krb5-libs aarch64 1.21.3-1.fc39 updates 769 k libacl aarch64 2.3.1-9.fc39 updates 24 k libarchive aarch64 3.7.1-2.fc39 updates 401 k libattr aarch64 2.5.1-8.fc39 fedora 18 k libblkid aarch64 2.39.4-1.fc39 updates 116 k libbrotli aarch64 1.1.0-1.fc39 fedora 345 k libcap aarch64 2.48-9.fc39 updates 69 k libcap-ng aarch64 0.8.3-8.fc39 fedora 32 k libcom_err aarch64 1.47.0-2.fc39 fedora 26 k libcurl aarch64 8.2.1-5.fc39 updates 316 k libdb aarch64 5.3.28-56.fc39 fedora 735 k libeconf aarch64 0.5.2-2.fc39 updates 30 k libevent aarch64 2.1.12-9.fc39 fedora 254 k libfdisk aarch64 2.39.4-1.fc39 updates 157 k libffi aarch64 3.4.4-4.fc39 fedora 38 k libgcc aarch64 13.3.1-3.fc39 updates 104 k libgomp aarch64 13.3.1-3.fc39 updates 321 k libidn2 aarch64 2.3.7-1.fc39 updates 120 k libmount aarch64 2.39.4-1.fc39 updates 153 k libnghttp2 aarch64 1.55.1-5.fc39 updates 76 k libnsl2 aarch64 2.0.0-6.fc39 fedora 30 k libpkgconf aarch64 1.9.5-2.fc39 fedora 38 k libpsl aarch64 0.21.2-4.fc39 fedora 63 k libpwquality aarch64 1.4.5-6.fc39 fedora 120 k libselinux aarch64 3.5-5.fc39 fedora 86 k libsemanage aarch64 3.5-4.fc39 fedora 117 k libsepol aarch64 3.5-2.fc39 fedora 311 k libsigsegv aarch64 2.14-5.fc39 fedora 27 k libsmartcols aarch64 2.39.4-1.fc39 updates 65 k libssh aarch64 0.10.6-2.fc39 updates 213 k libssh-config noarch 0.10.6-2.fc39 updates 9.0 k libstdc++ aarch64 13.3.1-3.fc39 updates 819 k libtasn1 aarch64 4.19.0-3.fc39 fedora 73 k libtirpc aarch64 1.3.5-0.fc39 updates 95 k libtool-ltdl aarch64 2.4.7-7.fc39 fedora 36 k libunistring aarch64 1.1-5.fc39 fedora 540 k libutempter aarch64 1.2.1-10.fc39 fedora 27 k libuuid aarch64 2.39.4-1.fc39 updates 28 k libverto aarch64 0.3.2-6.fc39 fedora 21 k libxcrypt aarch64 4.4.36-2.fc39 fedora 123 k libxml2 aarch64 2.10.4-3.fc39 fedora 689 k libzstd aarch64 1.5.6-1.fc39 updates 284 k lua-libs aarch64 5.4.6-3.fc39 fedora 131 k lua-srpm-macros noarch 1-13.fc39 updates 8.7 k lz4-libs aarch64 1.9.4-4.fc39 fedora 68 k mpfr aarch64 4.2.0-3.fc39 fedora 319 k ncurses-base noarch 6.4-7.20230520.fc39.1 updates 88 k ncurses-libs aarch64 6.4-7.20230520.fc39.1 updates 326 k ocaml-srpm-macros noarch 8-2.fc39 fedora 14 k openblas-srpm-macros noarch 2-14.fc39 fedora 7.5 k openldap aarch64 2.6.7-1.fc39 updates 250 k openssl-libs aarch64 1:3.1.4-4.fc39 updates 2.0 M p11-kit aarch64 0.25.5-1.fc39 updates 495 k p11-kit-trust aarch64 0.25.5-1.fc39 updates 138 k package-notes-srpm-macros noarch 0.5-9.fc39 fedora 11 k pam aarch64 1.5.3-3.fc39 updates 552 k pam-libs aarch64 1.5.3-3.fc39 updates 57 k pcre2 aarch64 10.42-1.fc39.2 fedora 219 k pcre2-syntax noarch 10.42-1.fc39.2 fedora 143 k perl-srpm-macros noarch 1-51.fc39 fedora 8.0 k pkgconf aarch64 1.9.5-2.fc39 fedora 42 k pkgconf-m4 noarch 1.9.5-2.fc39 fedora 14 k pkgconf-pkg-config aarch64 1.9.5-2.fc39 fedora 9.6 k popt aarch64 1.19-3.fc39 fedora 66 k publicsuffix-list-dafsa noarch 20240107-1.fc39 updates 58 k pyproject-srpm-macros noarch 1.13.0-1.fc39 updates 13 k python-srpm-macros noarch 3.12-8.fc39 updates 23 k qt5-srpm-macros noarch 5.15.14-2.fc39 updates 8.9 k qt6-srpm-macros noarch 6.6.2-1.fc39 updates 8.9 k readline aarch64 8.2-6.fc39 updates 212 k rpm aarch64 4.19.1.1-1.fc39 updates 536 k rpm-build-libs aarch64 4.19.1.1-1.fc39 updates 91 k rpm-libs aarch64 4.19.1.1-1.fc39 updates 305 k rpm-sequoia aarch64 1.7.0-1.fc39 updates 868 k rpmautospec-rpm-macros noarch 0.7.2-1.fc39 updates 10 k rust-srpm-macros noarch 26.3-1.fc39 updates 13 k setup noarch 2.14.4-1.fc39 fedora 154 k sqlite-libs aarch64 3.42.0-7.fc39 fedora 677 k systemd-libs aarch64 254.18-1.fc39 updates 661 k util-linux-core aarch64 2.39.4-1.fc39 updates 505 k xxhash-libs aarch64 0.8.2-1.fc39 fedora 35 k xz-libs aarch64 5.4.4-1.fc39 fedora 106 k zip aarch64 3.0-39.fc39 fedora 262 k zlib aarch64 1.2.13-4.fc39 fedora 93 k zstd aarch64 1.5.6-1.fc39 updates 445 k Installing Groups: Buildsystem building group Transaction Summary =========================================================================================== Install 153 Packages Total download size: 52 M Installed size: 303 M Downloading Packages: (1/153): glibc-2.38-99.fc39.aarch64.rpm 54 MB/s | 1.7 MB 00:00 (2/153): glibc-common-2.38-99.fc39.aarch64.rpm 9.9 MB/s | 338 kB 00:00 (3/153): glibc-gconv-extra-2.38-99.fc39.aarch64 51 MB/s | 1.9 MB 00:00 (4/153): glibc-minimal-langpack-2.38-99.fc39.aa 11 MB/s | 67 kB 00:00 (5/153): basesystem-11-18.fc39.noarch.rpm 496 kB/s | 7.2 kB 00:00 (6/153): bzip2-1.0.8-16.fc39.aarch64.rpm 1.1 MB/s | 52 kB 00:00 (7/153): authselect-libs-1.4.3-1.fc39.aarch64.r 3.9 MB/s | 249 kB 00:00 (8/153): authselect-1.4.3-1.fc39.aarch64.rpm 1.8 MB/s | 150 kB 00:00 (9/153): bzip2-libs-1.0.8-16.fc39.aarch64.rpm 782 kB/s | 43 kB 00:00 (10/153): cracklib-2.9.11-2.fc39.aarch64.rpm 6.4 MB/s | 94 kB 00:00 (11/153): cpio-2.14-4.fc39.aarch64.rpm 4.4 MB/s | 277 kB 00:00 (12/153): cyrus-sasl-lib-2.1.28-11.fc39.aarch64 12 MB/s | 781 kB 00:00 (13/153): diffutils-3.10-3.fc39.aarch64.rpm 3.5 MB/s | 396 kB 00:00 (14/153): dwz-0.15-3.fc39.aarch64.rpm 2.1 MB/s | 136 kB 00:00 (15/153): ca-certificates-2023.2.60_v7.0.306-2. 4.1 MB/s | 837 kB 00:00 (16/153): file-5.44-5.fc39.aarch64.rpm 4.4 MB/s | 49 kB 00:00 (17/153): ed-1.19-4.fc39.aarch64.rpm 763 kB/s | 78 kB 00:00 (18/153): efi-srpm-macros-5-9.fc39.noarch.rpm 231 kB/s | 22 kB 00:00 (19/153): file-libs-5.44-5.fc39.aarch64.rpm 6.8 MB/s | 729 kB 00:00 (20/153): fpc-srpm-macros-1.3-8.fc39.noarch.rpm 155 kB/s | 7.4 kB 00:00 (21/153): fonts-srpm-macros-2.0.5-12.fc39.noarc 310 kB/s | 26 kB 00:00 (22/153): gawk-5.2.2-2.fc39.aarch64.rpm 26 MB/s | 1.1 MB 00:00 (23/153): filesystem-3.18-6.fc39.aarch64.rpm 6.4 MB/s | 1.1 MB 00:00 (24/153): gdbm-libs-1.23-4.fc39.aarch64.rpm 640 kB/s | 56 kB 00:00 (25/153): ghc-srpm-macros-1.6.1-2.fc39.noarch.r 75 kB/s | 7.8 kB 00:00 (26/153): grep-3.11-3.fc39.aarch64.rpm 9.8 MB/s | 295 kB 00:00 (27/153): gzip-1.12-6.fc39.aarch64.rpm 14 MB/s | 164 kB 00:00 (28/153): info-7.0.3-3.fc39.aarch64.rpm 1.7 MB/s | 179 kB 00:00 (29/153): jansson-2.13.1-7.fc39.aarch64.rpm 4.6 MB/s | 46 kB 00:00 (30/153): kernel-srpm-macros-1.0-20.fc39.noarch 376 kB/s | 10 kB 00:00 (31/153): gnat-srpm-macros-6-3.fc39.noarch.rpm 38 kB/s | 8.8 kB 00:00 (32/153): gmp-6.2.1-5.fc39.aarch64.rpm 1.1 MB/s | 266 kB 00:00 (33/153): libattr-2.5.1-8.fc39.aarch64.rpm 1.7 MB/s | 18 kB 00:00 (34/153): libcap-ng-0.8.3-8.fc39.aarch64.rpm 2.1 MB/s | 32 kB 00:00 (35/153): libcom_err-1.47.0-2.fc39.aarch64.rpm 745 kB/s | 26 kB 00:00 (36/153): libbrotli-1.1.0-1.fc39.aarch64.rpm 8.5 MB/s | 345 kB 00:00 (37/153): libevent-2.1.12-9.fc39.aarch64.rpm 24 MB/s | 254 kB 00:00 (38/153): libffi-3.4.4-4.fc39.aarch64.rpm 3.8 MB/s | 38 kB 00:00 (39/153): libnsl2-2.0.0-6.fc39.aarch64.rpm 493 kB/s | 30 kB 00:00 (40/153): libpkgconf-1.9.5-2.fc39.aarch64.rpm 650 kB/s | 38 kB 00:00 (41/153): libdb-5.3.28-56.fc39.aarch64.rpm 5.7 MB/s | 735 kB 00:00 (42/153): libpwquality-1.4.5-6.fc39.aarch64.rpm 1.3 MB/s | 120 kB 00:00 (43/153): libpsl-0.21.2-4.fc39.aarch64.rpm 712 kB/s | 63 kB 00:00 (44/153): libsemanage-3.5-4.fc39.aarch64.rpm 7.6 MB/s | 117 kB 00:00 (45/153): libsepol-3.5-2.fc39.aarch64.rpm 20 MB/s | 311 kB 00:00 (46/153): libselinux-3.5-5.fc39.aarch64.rpm 1.1 MB/s | 86 kB 00:00 (47/153): libtasn1-4.19.0-3.fc39.aarch64.rpm 8.7 MB/s | 73 kB 00:00 (48/153): libsigsegv-2.14-5.fc39.aarch64.rpm 2.8 MB/s | 27 kB 00:00 (49/153): libutempter-1.2.1-10.fc39.aarch64.rpm 3.6 MB/s | 27 kB 00:00 (50/153): libtool-ltdl-2.4.7-7.fc39.aarch64.rpm 3.7 MB/s | 36 kB 00:00 (51/153): libxcrypt-4.4.36-2.fc39.aarch64.rpm 12 MB/s | 123 kB 00:00 (52/153): libunistring-1.1-5.fc39.aarch64.rpm 8.6 MB/s | 540 kB 00:00 (53/153): libverto-0.3.2-6.fc39.aarch64.rpm 378 kB/s | 21 kB 00:00 (54/153): libxml2-2.10.4-3.fc39.aarch64.rpm 8.5 MB/s | 689 kB 00:00 (55/153): mpfr-4.2.0-3.fc39.aarch64.rpm 7.1 MB/s | 319 kB 00:00 (56/153): ocaml-srpm-macros-8-2.fc39.noarch.rpm 1.4 MB/s | 14 kB 00:00 (57/153): lz4-libs-1.9.4-4.fc39.aarch64.rpm 607 kB/s | 68 kB 00:00 (58/153): lua-libs-5.4.6-3.fc39.aarch64.rpm 1.1 MB/s | 131 kB 00:00 (59/153): package-notes-srpm-macros-0.5-9.fc39. 1.4 MB/s | 11 kB 00:00 (60/153): patch-2.7.6-22.fc39.aarch64.rpm 9.2 MB/s | 123 kB 00:00 (61/153): openblas-srpm-macros-2-14.fc39.noarch 215 kB/s | 7.5 kB 00:00 (62/153): perl-srpm-macros-1-51.fc39.noarch.rpm 858 kB/s | 8.0 kB 00:00 (63/153): pkgconf-1.9.5-2.fc39.aarch64.rpm 4.2 MB/s | 42 kB 00:00 (64/153): pcre2-10.42-1.fc39.2.aarch64.rpm 7.8 MB/s | 219 kB 00:00 (65/153): pcre2-syntax-10.42-1.fc39.2.noarch.rp 6.5 MB/s | 143 kB 00:00 (66/153): pkgconf-pkg-config-1.9.5-2.fc39.aarch 1.3 MB/s | 9.6 kB 00:00 (67/153): pkgconf-m4-1.9.5-2.fc39.noarch.rpm 1.4 MB/s | 14 kB 00:00 (68/153): popt-1.19-3.fc39.aarch64.rpm 6.5 MB/s | 66 kB 00:00 (69/153): setup-2.14.4-1.fc39.noarch.rpm 14 MB/s | 154 kB 00:00 (70/153): tar-1.35-2.fc39.aarch64.rpm 10 MB/s | 854 kB 00:00 (71/153): sed-4.8-14.fc39.aarch64.rpm 3.0 MB/s | 304 kB 00:00 (72/153): sqlite-libs-3.42.0-7.fc39.aarch64.rpm 4.6 MB/s | 677 kB 00:00 (73/153): unzip-6.0-62.fc39.aarch64.rpm 3.4 MB/s | 183 kB 00:00 (74/153): which-2.21-40.fc39.aarch64.rpm 526 kB/s | 42 kB 00:00 (75/153): xxhash-libs-0.8.2-1.fc39.aarch64.rpm 1.1 MB/s | 35 kB 00:00 (76/153): xz-libs-5.4.4-1.fc39.aarch64.rpm 9.7 MB/s | 106 kB 00:00 (77/153): zip-3.0-39.fc39.aarch64.rpm 23 MB/s | 262 kB 00:00 (78/153): zlib-1.2.13-4.fc39.aarch64.rpm 8.8 MB/s | 93 kB 00:00 (79/153): alternatives-1.26-1.fc39.aarch64.rpm 1.1 MB/s | 38 kB 00:00 (80/153): ansible-srpm-macros-1-12.fc39.noarch. 664 kB/s | 21 kB 00:00 (81/153): xz-5.4.4-1.fc39.aarch64.rpm 5.7 MB/s | 556 kB 00:00 (82/153): audit-libs-3.1.5-1.fc39.aarch64.rpm 5.4 MB/s | 124 kB 00:00 (83/153): binutils-gold-2.40-14.fc39.aarch64.rp 39 MB/s | 945 kB 00:00 (84/153): bash-5.2.26-1.fc39.aarch64.rpm 37 MB/s | 1.8 MB 00:00 (85/153): coreutils-9.3-6.fc39.aarch64.rpm 89 MB/s | 1.2 MB 00:00 (86/153): crypto-policies-20231204-1.git1e3a2e4 14 MB/s | 100 kB 00:00 (87/153): coreutils-common-9.3-6.fc39.aarch64.r 140 MB/s | 2.1 MB 00:00 (88/153): curl-8.2.1-5.fc39.aarch64.rpm 51 MB/s | 340 kB 00:00 (89/153): debugedit-5.0-12.fc39.aarch64.rpm 13 MB/s | 78 kB 00:00 (90/153): elfutils-0.191-2.fc39.aarch64.rpm 79 MB/s | 560 kB 00:00 (91/153): elfutils-debuginfod-client-0.191-2.fc 6.2 MB/s | 38 kB 00:00 (92/153): elfutils-default-yama-scope-0.191-2.f 2.2 MB/s | 13 kB 00:00 (93/153): elfutils-libelf-0.191-2.fc39.aarch64. 30 MB/s | 209 kB 00:00 (94/153): elfutils-libs-0.191-2.fc39.aarch64.rp 37 MB/s | 263 kB 00:00 (95/153): fedora-gpg-keys-39-2.noarch.rpm 21 MB/s | 130 kB 00:00 (96/153): fedora-release-39-36.noarch.rpm 1.5 MB/s | 8.6 kB 00:00 (97/153): fedora-release-common-39-36.noarch.rp 3.1 MB/s | 19 kB 00:00 (98/153): binutils-2.40-14.fc39.aarch64.rpm 66 MB/s | 6.1 MB 00:00 (99/153): fedora-release-identity-basic-39-36.n 1.0 MB/s | 9.4 kB 00:00 (100/153): fedora-repos-39-2.noarch.rpm 1.5 MB/s | 9.3 kB 00:00 (101/153): findutils-4.9.0-6.fc39.aarch64.rpm 66 MB/s | 494 kB 00:00 (102/153): forge-srpm-macros-0.3.1-1.fc39.noarc 2.7 MB/s | 19 kB 00:00 (103/153): go-srpm-macros-3.5.0-1.fc39.noarch.r 4.6 MB/s | 28 kB 00:00 (104/153): gdb-minimal-15.1-1.fc39.aarch64.rpm 202 MB/s | 3.9 MB 00:00 (105/153): keyutils-libs-1.6.3-1.fc39.aarch64.r 3.0 MB/s | 32 kB 00:00 (106/153): krb5-libs-1.21.3-1.fc39.aarch64.rpm 101 MB/s | 769 kB 00:00 (107/153): libacl-2.3.1-9.fc39.aarch64.rpm 3.9 MB/s | 24 kB 00:00 (108/153): libarchive-3.7.1-2.fc39.aarch64.rpm 55 MB/s | 401 kB 00:00 (109/153): libblkid-2.39.4-1.fc39.aarch64.rpm 19 MB/s | 116 kB 00:00 (110/153): libcap-2.48-9.fc39.aarch64.rpm 11 MB/s | 69 kB 00:00 (111/153): libcurl-8.2.1-5.fc39.aarch64.rpm 46 MB/s | 316 kB 00:00 (112/153): libeconf-0.5.2-2.fc39.aarch64.rpm 5.1 MB/s | 30 kB 00:00 (113/153): libfdisk-2.39.4-1.fc39.aarch64.rpm 25 MB/s | 157 kB 00:00 (114/153): libgcc-13.3.1-3.fc39.aarch64.rpm 16 MB/s | 104 kB 00:00 (115/153): libgomp-13.3.1-3.fc39.aarch64.rpm 49 MB/s | 321 kB 00:00 (116/153): libidn2-2.3.7-1.fc39.aarch64.rpm 19 MB/s | 120 kB 00:00 (117/153): libmount-2.39.4-1.fc39.aarch64.rpm 24 MB/s | 153 kB 00:00 (118/153): libnghttp2-1.55.1-5.fc39.aarch64.rpm 12 MB/s | 76 kB 00:00 (119/153): libsmartcols-2.39.4-1.fc39.aarch64.r 10 MB/s | 65 kB 00:00 (120/153): libssh-0.10.6-2.fc39.aarch64.rpm 32 MB/s | 213 kB 00:00 (121/153): libssh-config-0.10.6-2.fc39.noarch.r 1.5 MB/s | 9.0 kB 00:00 (122/153): libstdc++-13.3.1-3.fc39.aarch64.rpm 105 MB/s | 819 kB 00:00 (123/153): libtirpc-1.3.5-0.fc39.aarch64.rpm 14 MB/s | 95 kB 00:00 (124/153): libuuid-2.39.4-1.fc39.aarch64.rpm 4.5 MB/s | 28 kB 00:00 (125/153): libzstd-1.5.6-1.fc39.aarch64.rpm 42 MB/s | 284 kB 00:00 (126/153): lua-srpm-macros-1-13.fc39.noarch.rpm 1.4 MB/s | 8.7 kB 00:00 (127/153): ncurses-base-6.4-7.20230520.fc39.1.n 13 MB/s | 88 kB 00:00 (128/153): ncurses-libs-6.4-7.20230520.fc39.1.a 37 MB/s | 326 kB 00:00 (129/153): openldap-2.6.7-1.fc39.aarch64.rpm 27 MB/s | 250 kB 00:00 (130/153): openssl-libs-3.1.4-4.fc39.aarch64.rp 178 MB/s | 2.0 MB 00:00 (131/153): p11-kit-0.25.5-1.fc39.aarch64.rpm 68 MB/s | 495 kB 00:00 (132/153): p11-kit-trust-0.25.5-1.fc39.aarch64. 19 MB/s | 138 kB 00:00 (133/153): pam-1.5.3-3.fc39.aarch64.rpm 76 MB/s | 552 kB 00:00 (134/153): pam-libs-1.5.3-3.fc39.aarch64.rpm 9.4 MB/s | 57 kB 00:00 (135/153): publicsuffix-list-dafsa-20240107-1.f 9.0 MB/s | 58 kB 00:00 (136/153): pyproject-srpm-macros-1.13.0-1.fc39. 2.1 MB/s | 13 kB 00:00 (137/153): qt5-srpm-macros-5.15.14-2.fc39.noarc 1.5 MB/s | 8.9 kB 00:00 (138/153): python-srpm-macros-3.12-8.fc39.noarc 2.4 MB/s | 23 kB 00:00 (139/153): qt6-srpm-macros-6.6.2-1.fc39.noarch. 1.1 MB/s | 8.9 kB 00:00 (140/153): readline-8.2-6.fc39.aarch64.rpm 28 MB/s | 212 kB 00:00 (141/153): redhat-rpm-config-266-1.fc39.noarch. 13 MB/s | 78 kB 00:00 (142/153): rpm-4.19.1.1-1.fc39.aarch64.rpm 74 MB/s | 536 kB 00:00 (143/153): rpm-build-4.19.1.1-1.fc39.aarch64.rp 13 MB/s | 79 kB 00:00 (144/153): rpm-build-libs-4.19.1.1-1.fc39.aarch 15 MB/s | 91 kB 00:00 (145/153): rpm-libs-4.19.1.1-1.fc39.aarch64.rpm 46 MB/s | 305 kB 00:00 (146/153): rpmautospec-rpm-macros-0.7.2-1.fc39. 1.7 MB/s | 10 kB 00:00 (147/153): rpm-sequoia-1.7.0-1.fc39.aarch64.rpm 85 MB/s | 868 kB 00:00 (148/153): rust-srpm-macros-26.3-1.fc39.noarch. 1.8 MB/s | 13 kB 00:00 (149/153): systemd-libs-254.18-1.fc39.aarch64.r 89 MB/s | 661 kB 00:00 (150/153): util-linux-core-2.39.4-1.fc39.aarch6 55 MB/s | 505 kB 00:00 (151/153): zstd-1.5.6-1.fc39.aarch64.rpm 52 MB/s | 445 kB 00:00 (152/153): shadow-utils-4.14.0-2.fc39.aarch64.r 28 MB/s | 1.3 MB 00:00 (153/153): util-linux-2.39.4-1.fc39.aarch64.rpm 24 MB/s | 1.2 MB 00:00 -------------------------------------------------------------------------------- Total 28 MB/s | 52 MB 00:01 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x18B8E74C: Userid : "Fedora (39) " Fingerprint: E8F2 3996 F232 1864 0CB4 4CBE 75CF 5AC4 18B8 E74C From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-6.fc39.aarch64 1/1 Preparing : 1/1 Installing : libgcc-13.3.1-3.fc39.aarch64 1/153 Running scriptlet: libgcc-13.3.1-3.fc39.aarch64 1/153 Installing : crypto-policies-20231204-1.git1e3a2e4.fc39.noarc 2/153 Running scriptlet: crypto-policies-20231204-1.git1e3a2e4.fc39.noarc 2/153 Installing : fedora-release-identity-basic-39-36.noarch 3/153 Installing : fedora-gpg-keys-39-2.noarch 4/153 Installing : fedora-repos-39-2.noarch 5/153 Installing : fedora-release-common-39-36.noarch 6/153 Installing : fedora-release-39-36.noarch 7/153 Installing : setup-2.14.4-1.fc39.noarch 8/153 Running scriptlet: setup-2.14.4-1.fc39.noarch 8/153 Installing : filesystem-3.18-6.fc39.aarch64 9/153 Installing : basesystem-11-18.fc39.noarch 10/153 Installing : rust-srpm-macros-26.3-1.fc39.noarch 11/153 Installing : qt6-srpm-macros-6.6.2-1.fc39.noarch 12/153 Installing : qt5-srpm-macros-5.15.14-2.fc39.noarch 13/153 Installing : publicsuffix-list-dafsa-20240107-1.fc39.noarch 14/153 Installing : ncurses-base-6.4-7.20230520.fc39.1.noarch 15/153 Installing : glibc-gconv-extra-2.38-99.fc39.aarch64 16/153 Running scriptlet: glibc-gconv-extra-2.38-99.fc39.aarch64 16/153 Installing : glibc-minimal-langpack-2.38-99.fc39.aarch64 17/153 Installing : glibc-common-2.38-99.fc39.aarch64 18/153 Running scriptlet: glibc-2.38-99.fc39.aarch64 19/153 Installing : glibc-2.38-99.fc39.aarch64 19/153 Running scriptlet: glibc-2.38-99.fc39.aarch64 19/153 Installing : ncurses-libs-6.4-7.20230520.fc39.1.aarch64 20/153 Installing : bash-5.2.26-1.fc39.aarch64 21/153 Running scriptlet: bash-5.2.26-1.fc39.aarch64 21/153 Installing : zlib-1.2.13-4.fc39.aarch64 22/153 Installing : xz-libs-5.4.4-1.fc39.aarch64 23/153 Installing : bzip2-libs-1.0.8-16.fc39.aarch64 24/153 Installing : popt-1.19-3.fc39.aarch64 25/153 Installing : libstdc++-13.3.1-3.fc39.aarch64 26/153 Installing : libuuid-2.39.4-1.fc39.aarch64 27/153 Installing : libzstd-1.5.6-1.fc39.aarch64 28/153 Installing : elfutils-libelf-0.191-2.fc39.aarch64 29/153 Installing : libblkid-2.39.4-1.fc39.aarch64 30/153 Installing : readline-8.2-6.fc39.aarch64 31/153 Installing : gmp-1:6.2.1-5.fc39.aarch64 32/153 Installing : libattr-2.5.1-8.fc39.aarch64 33/153 Installing : libacl-2.3.1-9.fc39.aarch64 34/153 Installing : libxcrypt-4.4.36-2.fc39.aarch64 35/153 Installing : libcap-2.48-9.fc39.aarch64 36/153 Installing : lz4-libs-1.9.4-4.fc39.aarch64 37/153 Installing : libeconf-0.5.2-2.fc39.aarch64 38/153 Installing : systemd-libs-254.18-1.fc39.aarch64 39/153 Installing : mpfr-4.2.0-3.fc39.aarch64 40/153 Installing : dwz-0.15-3.fc39.aarch64 41/153 Installing : unzip-6.0-62.fc39.aarch64 42/153 Installing : file-libs-5.44-5.fc39.aarch64 43/153 Installing : file-5.44-5.fc39.aarch64 44/153 Installing : jansson-2.13.1-7.fc39.aarch64 45/153 Installing : libcap-ng-0.8.3-8.fc39.aarch64 46/153 Installing : audit-libs-3.1.5-1.fc39.aarch64 47/153 Installing : pam-libs-1.5.3-3.fc39.aarch64 48/153 Installing : libcom_err-1.47.0-2.fc39.aarch64 49/153 Installing : libsepol-3.5-2.fc39.aarch64 50/153 Installing : libtasn1-4.19.0-3.fc39.aarch64 51/153 Installing : libunistring-1.1-5.fc39.aarch64 52/153 Installing : libidn2-2.3.7-1.fc39.aarch64 53/153 Installing : lua-libs-5.4.6-3.fc39.aarch64 54/153 Installing : alternatives-1.26-1.fc39.aarch64 55/153 Installing : libsmartcols-2.39.4-1.fc39.aarch64 56/153 Installing : libpsl-0.21.2-4.fc39.aarch64 57/153 Installing : zip-3.0-39.fc39.aarch64 58/153 Installing : zstd-1.5.6-1.fc39.aarch64 59/153 Installing : libfdisk-2.39.4-1.fc39.aarch64 60/153 Installing : bzip2-1.0.8-16.fc39.aarch64 61/153 Installing : libxml2-2.10.4-3.fc39.aarch64 62/153 Installing : sqlite-libs-3.42.0-7.fc39.aarch64 63/153 Installing : ed-1.19-4.fc39.aarch64 64/153 Installing : elfutils-default-yama-scope-0.191-2.fc39.noarch 65/153 Running scriptlet: elfutils-default-yama-scope-0.191-2.fc39.noarch 65/153 Installing : cpio-2.14-4.fc39.aarch64 66/153 Installing : diffutils-3.10-3.fc39.aarch64 67/153 Installing : gdbm-libs-1:1.23-4.fc39.aarch64 68/153 Installing : cyrus-sasl-lib-2.1.28-11.fc39.aarch64 69/153 Installing : libbrotli-1.1.0-1.fc39.aarch64 70/153 Installing : libdb-5.3.28-56.fc39.aarch64 71/153 Installing : libffi-3.4.4-4.fc39.aarch64 72/153 Installing : p11-kit-0.25.5-1.fc39.aarch64 73/153 Installing : p11-kit-trust-0.25.5-1.fc39.aarch64 74/153 Running scriptlet: p11-kit-trust-0.25.5-1.fc39.aarch64 74/153 Installing : libpkgconf-1.9.5-2.fc39.aarch64 75/153 Installing : pkgconf-1.9.5-2.fc39.aarch64 76/153 Installing : libsigsegv-2.14-5.fc39.aarch64 77/153 Installing : gawk-5.2.2-2.fc39.aarch64 78/153 Installing : libtool-ltdl-2.4.7-7.fc39.aarch64 79/153 Installing : libverto-0.3.2-6.fc39.aarch64 80/153 Installing : xxhash-libs-0.8.2-1.fc39.aarch64 81/153 Installing : keyutils-libs-1.6.3-1.fc39.aarch64 82/153 Installing : libgomp-13.3.1-3.fc39.aarch64 83/153 Installing : libnghttp2-1.55.1-5.fc39.aarch64 84/153 Installing : libssh-config-0.10.6-2.fc39.noarch 85/153 Installing : coreutils-common-9.3-6.fc39.aarch64 86/153 Installing : ansible-srpm-macros-1-12.fc39.noarch 87/153 Installing : pkgconf-m4-1.9.5-2.fc39.noarch 88/153 Installing : pkgconf-pkg-config-1.9.5-2.fc39.aarch64 89/153 Installing : perl-srpm-macros-1-51.fc39.noarch 90/153 Installing : pcre2-syntax-10.42-1.fc39.2.noarch 91/153 Installing : pcre2-10.42-1.fc39.2.aarch64 92/153 Installing : libselinux-3.5-5.fc39.aarch64 93/153 Installing : sed-4.8-14.fc39.aarch64 94/153 Installing : grep-3.11-3.fc39.aarch64 95/153 Installing : findutils-1:4.9.0-6.fc39.aarch64 96/153 Installing : xz-5.4.4-1.fc39.aarch64 97/153 Installing : libmount-2.39.4-1.fc39.aarch64 98/153 Installing : util-linux-core-2.39.4-1.fc39.aarch64 99/153 Installing : openssl-libs-1:3.1.4-4.fc39.aarch64 100/153 Installing : coreutils-9.3-6.fc39.aarch64 101/153 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 102/153 Installing : ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 102/153 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 102/153 Installing : krb5-libs-1.21.3-1.fc39.aarch64 103/153 Installing : libtirpc-1.3.5-0.fc39.aarch64 104/153 Running scriptlet: authselect-libs-1.4.3-1.fc39.aarch64 105/153 Installing : authselect-libs-1.4.3-1.fc39.aarch64 105/153 Installing : gzip-1.12-6.fc39.aarch64 106/153 Installing : libarchive-3.7.1-2.fc39.aarch64 107/153 Installing : cracklib-2.9.11-2.fc39.aarch64 108/153 Installing : libpwquality-1.4.5-6.fc39.aarch64 109/153 Installing : authselect-1.4.3-1.fc39.aarch64 110/153 Installing : libnsl2-2.0.0-6.fc39.aarch64 111/153 Installing : pam-1.5.3-3.fc39.aarch64 112/153 Installing : libssh-0.10.6-2.fc39.aarch64 113/153 Installing : libevent-2.1.12-9.fc39.aarch64 114/153 Installing : openldap-2.6.7-1.fc39.aarch64 115/153 Installing : libcurl-8.2.1-5.fc39.aarch64 116/153 Installing : elfutils-libs-0.191-2.fc39.aarch64 117/153 Installing : elfutils-debuginfod-client-0.191-2.fc39.aarch64 118/153 Installing : binutils-gold-2.40-14.fc39.aarch64 119/153 Running scriptlet: binutils-gold-2.40-14.fc39.aarch64 119/153 Installing : binutils-2.40-14.fc39.aarch64 120/153 Running scriptlet: binutils-2.40-14.fc39.aarch64 120/153 Installing : elfutils-0.191-2.fc39.aarch64 121/153 Installing : gdb-minimal-15.1-1.fc39.aarch64 122/153 Installing : debugedit-5.0-12.fc39.aarch64 123/153 Installing : curl-8.2.1-5.fc39.aarch64 124/153 Installing : rpm-sequoia-1.7.0-1.fc39.aarch64 125/153 Installing : rpm-libs-4.19.1.1-1.fc39.aarch64 126/153 Running scriptlet: rpm-4.19.1.1-1.fc39.aarch64 127/153 Installing : rpm-4.19.1.1-1.fc39.aarch64 127/153 Installing : efi-srpm-macros-5-9.fc39.noarch 128/153 Installing : lua-srpm-macros-1-13.fc39.noarch 129/153 Installing : rpmautospec-rpm-macros-0.7.2-1.fc39.noarch 130/153 Installing : rpm-build-libs-4.19.1.1-1.fc39.aarch64 131/153 Installing : libsemanage-3.5-4.fc39.aarch64 132/153 Installing : shadow-utils-2:4.14.0-2.fc39.aarch64 133/153 Running scriptlet: libutempter-1.2.1-10.fc39.aarch64 134/153 Installing : libutempter-1.2.1-10.fc39.aarch64 134/153 Installing : patch-2.7.6-22.fc39.aarch64 135/153 Installing : tar-2:1.35-2.fc39.aarch64 136/153 Installing : package-notes-srpm-macros-0.5-9.fc39.noarch 137/153 Installing : openblas-srpm-macros-2-14.fc39.noarch 138/153 Installing : ocaml-srpm-macros-8-2.fc39.noarch 139/153 Installing : kernel-srpm-macros-1.0-20.fc39.noarch 140/153 Installing : gnat-srpm-macros-6-3.fc39.noarch 141/153 Installing : ghc-srpm-macros-1.6.1-2.fc39.noarch 142/153 Installing : fpc-srpm-macros-1.3-8.fc39.noarch 143/153 Installing : fonts-srpm-macros-1:2.0.5-12.fc39.noarch 144/153 Installing : forge-srpm-macros-0.3.1-1.fc39.noarch 145/153 Installing : go-srpm-macros-3.5.0-1.fc39.noarch 146/153 Installing : python-srpm-macros-3.12-8.fc39.noarch 147/153 Installing : redhat-rpm-config-266-1.fc39.noarch 148/153 Installing : rpm-build-4.19.1.1-1.fc39.aarch64 149/153 Installing : pyproject-srpm-macros-1.13.0-1.fc39.noarch 150/153 Installing : util-linux-2.39.4-1.fc39.aarch64 151/153 Running scriptlet: util-linux-2.39.4-1.fc39.aarch64 151/153 Installing : which-2.21-40.fc39.aarch64 152/153 Installing : info-7.0.3-3.fc39.aarch64 153/153 Running scriptlet: filesystem-3.18-6.fc39.aarch64 153/153 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 153/153 Running scriptlet: authselect-libs-1.4.3-1.fc39.aarch64 153/153 Running scriptlet: rpm-4.19.1.1-1.fc39.aarch64 153/153 Running scriptlet: info-7.0.3-3.fc39.aarch64 153/153 Verifying : glibc-2.38-99.fc39.aarch64 1/153 Verifying : glibc-common-2.38-99.fc39.aarch64 2/153 Verifying : glibc-gconv-extra-2.38-99.fc39.aarch64 3/153 Verifying : glibc-minimal-langpack-2.38-99.fc39.aarch64 4/153 Verifying : authselect-1.4.3-1.fc39.aarch64 5/153 Verifying : authselect-libs-1.4.3-1.fc39.aarch64 6/153 Verifying : basesystem-11-18.fc39.noarch 7/153 Verifying : bzip2-1.0.8-16.fc39.aarch64 8/153 Verifying : bzip2-libs-1.0.8-16.fc39.aarch64 9/153 Verifying : ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch 10/153 Verifying : cpio-2.14-4.fc39.aarch64 11/153 Verifying : cracklib-2.9.11-2.fc39.aarch64 12/153 Verifying : cyrus-sasl-lib-2.1.28-11.fc39.aarch64 13/153 Verifying : diffutils-3.10-3.fc39.aarch64 14/153 Verifying : dwz-0.15-3.fc39.aarch64 15/153 Verifying : ed-1.19-4.fc39.aarch64 16/153 Verifying : efi-srpm-macros-5-9.fc39.noarch 17/153 Verifying : file-5.44-5.fc39.aarch64 18/153 Verifying : file-libs-5.44-5.fc39.aarch64 19/153 Verifying : filesystem-3.18-6.fc39.aarch64 20/153 Verifying : fonts-srpm-macros-1:2.0.5-12.fc39.noarch 21/153 Verifying : fpc-srpm-macros-1.3-8.fc39.noarch 22/153 Verifying : gawk-5.2.2-2.fc39.aarch64 23/153 Verifying : gdbm-libs-1:1.23-4.fc39.aarch64 24/153 Verifying : ghc-srpm-macros-1.6.1-2.fc39.noarch 25/153 Verifying : gmp-1:6.2.1-5.fc39.aarch64 26/153 Verifying : gnat-srpm-macros-6-3.fc39.noarch 27/153 Verifying : grep-3.11-3.fc39.aarch64 28/153 Verifying : gzip-1.12-6.fc39.aarch64 29/153 Verifying : info-7.0.3-3.fc39.aarch64 30/153 Verifying : jansson-2.13.1-7.fc39.aarch64 31/153 Verifying : kernel-srpm-macros-1.0-20.fc39.noarch 32/153 Verifying : libattr-2.5.1-8.fc39.aarch64 33/153 Verifying : libbrotli-1.1.0-1.fc39.aarch64 34/153 Verifying : libcap-ng-0.8.3-8.fc39.aarch64 35/153 Verifying : libcom_err-1.47.0-2.fc39.aarch64 36/153 Verifying : libdb-5.3.28-56.fc39.aarch64 37/153 Verifying : libevent-2.1.12-9.fc39.aarch64 38/153 Verifying : libffi-3.4.4-4.fc39.aarch64 39/153 Verifying : libnsl2-2.0.0-6.fc39.aarch64 40/153 Verifying : libpkgconf-1.9.5-2.fc39.aarch64 41/153 Verifying : libpsl-0.21.2-4.fc39.aarch64 42/153 Verifying : libpwquality-1.4.5-6.fc39.aarch64 43/153 Verifying : libselinux-3.5-5.fc39.aarch64 44/153 Verifying : libsemanage-3.5-4.fc39.aarch64 45/153 Verifying : libsepol-3.5-2.fc39.aarch64 46/153 Verifying : libsigsegv-2.14-5.fc39.aarch64 47/153 Verifying : libtasn1-4.19.0-3.fc39.aarch64 48/153 Verifying : libtool-ltdl-2.4.7-7.fc39.aarch64 49/153 Verifying : libunistring-1.1-5.fc39.aarch64 50/153 Verifying : libutempter-1.2.1-10.fc39.aarch64 51/153 Verifying : libverto-0.3.2-6.fc39.aarch64 52/153 Verifying : libxcrypt-4.4.36-2.fc39.aarch64 53/153 Verifying : libxml2-2.10.4-3.fc39.aarch64 54/153 Verifying : lua-libs-5.4.6-3.fc39.aarch64 55/153 Verifying : lz4-libs-1.9.4-4.fc39.aarch64 56/153 Verifying : mpfr-4.2.0-3.fc39.aarch64 57/153 Verifying : ocaml-srpm-macros-8-2.fc39.noarch 58/153 Verifying : openblas-srpm-macros-2-14.fc39.noarch 59/153 Verifying : package-notes-srpm-macros-0.5-9.fc39.noarch 60/153 Verifying : patch-2.7.6-22.fc39.aarch64 61/153 Verifying : pcre2-10.42-1.fc39.2.aarch64 62/153 Verifying : pcre2-syntax-10.42-1.fc39.2.noarch 63/153 Verifying : perl-srpm-macros-1-51.fc39.noarch 64/153 Verifying : pkgconf-1.9.5-2.fc39.aarch64 65/153 Verifying : pkgconf-m4-1.9.5-2.fc39.noarch 66/153 Verifying : pkgconf-pkg-config-1.9.5-2.fc39.aarch64 67/153 Verifying : popt-1.19-3.fc39.aarch64 68/153 Verifying : sed-4.8-14.fc39.aarch64 69/153 Verifying : setup-2.14.4-1.fc39.noarch 70/153 Verifying : sqlite-libs-3.42.0-7.fc39.aarch64 71/153 Verifying : tar-2:1.35-2.fc39.aarch64 72/153 Verifying : unzip-6.0-62.fc39.aarch64 73/153 Verifying : which-2.21-40.fc39.aarch64 74/153 Verifying : xxhash-libs-0.8.2-1.fc39.aarch64 75/153 Verifying : xz-5.4.4-1.fc39.aarch64 76/153 Verifying : xz-libs-5.4.4-1.fc39.aarch64 77/153 Verifying : zip-3.0-39.fc39.aarch64 78/153 Verifying : zlib-1.2.13-4.fc39.aarch64 79/153 Verifying : alternatives-1.26-1.fc39.aarch64 80/153 Verifying : ansible-srpm-macros-1-12.fc39.noarch 81/153 Verifying : audit-libs-3.1.5-1.fc39.aarch64 82/153 Verifying : bash-5.2.26-1.fc39.aarch64 83/153 Verifying : binutils-2.40-14.fc39.aarch64 84/153 Verifying : binutils-gold-2.40-14.fc39.aarch64 85/153 Verifying : coreutils-9.3-6.fc39.aarch64 86/153 Verifying : coreutils-common-9.3-6.fc39.aarch64 87/153 Verifying : crypto-policies-20231204-1.git1e3a2e4.fc39.noarc 88/153 Verifying : curl-8.2.1-5.fc39.aarch64 89/153 Verifying : debugedit-5.0-12.fc39.aarch64 90/153 Verifying : elfutils-0.191-2.fc39.aarch64 91/153 Verifying : elfutils-debuginfod-client-0.191-2.fc39.aarch64 92/153 Verifying : elfutils-default-yama-scope-0.191-2.fc39.noarch 93/153 Verifying : elfutils-libelf-0.191-2.fc39.aarch64 94/153 Verifying : elfutils-libs-0.191-2.fc39.aarch64 95/153 Verifying : fedora-gpg-keys-39-2.noarch 96/153 Verifying : fedora-release-39-36.noarch 97/153 Verifying : fedora-release-common-39-36.noarch 98/153 Verifying : fedora-release-identity-basic-39-36.noarch 99/153 Verifying : fedora-repos-39-2.noarch 100/153 Verifying : findutils-1:4.9.0-6.fc39.aarch64 101/153 Verifying : forge-srpm-macros-0.3.1-1.fc39.noarch 102/153 Verifying : gdb-minimal-15.1-1.fc39.aarch64 103/153 Verifying : go-srpm-macros-3.5.0-1.fc39.noarch 104/153 Verifying : keyutils-libs-1.6.3-1.fc39.aarch64 105/153 Verifying : krb5-libs-1.21.3-1.fc39.aarch64 106/153 Verifying : libacl-2.3.1-9.fc39.aarch64 107/153 Verifying : libarchive-3.7.1-2.fc39.aarch64 108/153 Verifying : libblkid-2.39.4-1.fc39.aarch64 109/153 Verifying : libcap-2.48-9.fc39.aarch64 110/153 Verifying : libcurl-8.2.1-5.fc39.aarch64 111/153 Verifying : libeconf-0.5.2-2.fc39.aarch64 112/153 Verifying : libfdisk-2.39.4-1.fc39.aarch64 113/153 Verifying : libgcc-13.3.1-3.fc39.aarch64 114/153 Verifying : libgomp-13.3.1-3.fc39.aarch64 115/153 Verifying : libidn2-2.3.7-1.fc39.aarch64 116/153 Verifying : libmount-2.39.4-1.fc39.aarch64 117/153 Verifying : libnghttp2-1.55.1-5.fc39.aarch64 118/153 Verifying : libsmartcols-2.39.4-1.fc39.aarch64 119/153 Verifying : libssh-0.10.6-2.fc39.aarch64 120/153 Verifying : libssh-config-0.10.6-2.fc39.noarch 121/153 Verifying : libstdc++-13.3.1-3.fc39.aarch64 122/153 Verifying : libtirpc-1.3.5-0.fc39.aarch64 123/153 Verifying : libuuid-2.39.4-1.fc39.aarch64 124/153 Verifying : libzstd-1.5.6-1.fc39.aarch64 125/153 Verifying : lua-srpm-macros-1-13.fc39.noarch 126/153 Verifying : ncurses-base-6.4-7.20230520.fc39.1.noarch 127/153 Verifying : ncurses-libs-6.4-7.20230520.fc39.1.aarch64 128/153 Verifying : openldap-2.6.7-1.fc39.aarch64 129/153 Verifying : openssl-libs-1:3.1.4-4.fc39.aarch64 130/153 Verifying : p11-kit-0.25.5-1.fc39.aarch64 131/153 Verifying : p11-kit-trust-0.25.5-1.fc39.aarch64 132/153 Verifying : pam-1.5.3-3.fc39.aarch64 133/153 Verifying : pam-libs-1.5.3-3.fc39.aarch64 134/153 Verifying : publicsuffix-list-dafsa-20240107-1.fc39.noarch 135/153 Verifying : pyproject-srpm-macros-1.13.0-1.fc39.noarch 136/153 Verifying : python-srpm-macros-3.12-8.fc39.noarch 137/153 Verifying : qt5-srpm-macros-5.15.14-2.fc39.noarch 138/153 Verifying : qt6-srpm-macros-6.6.2-1.fc39.noarch 139/153 Verifying : readline-8.2-6.fc39.aarch64 140/153 Verifying : redhat-rpm-config-266-1.fc39.noarch 141/153 Verifying : rpm-4.19.1.1-1.fc39.aarch64 142/153 Verifying : rpm-build-4.19.1.1-1.fc39.aarch64 143/153 Verifying : rpm-build-libs-4.19.1.1-1.fc39.aarch64 144/153 Verifying : rpm-libs-4.19.1.1-1.fc39.aarch64 145/153 Verifying : rpm-sequoia-1.7.0-1.fc39.aarch64 146/153 Verifying : rpmautospec-rpm-macros-0.7.2-1.fc39.noarch 147/153 Verifying : rust-srpm-macros-26.3-1.fc39.noarch 148/153 Verifying : shadow-utils-2:4.14.0-2.fc39.aarch64 149/153 Verifying : systemd-libs-254.18-1.fc39.aarch64 150/153 Verifying : util-linux-2.39.4-1.fc39.aarch64 151/153 Verifying : util-linux-core-2.39.4-1.fc39.aarch64 152/153 Verifying : zstd-1.5.6-1.fc39.aarch64 153/153 Installed: alternatives-1.26-1.fc39.aarch64 ansible-srpm-macros-1-12.fc39.noarch audit-libs-3.1.5-1.fc39.aarch64 authselect-1.4.3-1.fc39.aarch64 authselect-libs-1.4.3-1.fc39.aarch64 basesystem-11-18.fc39.noarch bash-5.2.26-1.fc39.aarch64 binutils-2.40-14.fc39.aarch64 binutils-gold-2.40-14.fc39.aarch64 bzip2-1.0.8-16.fc39.aarch64 bzip2-libs-1.0.8-16.fc39.aarch64 ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch coreutils-9.3-6.fc39.aarch64 coreutils-common-9.3-6.fc39.aarch64 cpio-2.14-4.fc39.aarch64 cracklib-2.9.11-2.fc39.aarch64 crypto-policies-20231204-1.git1e3a2e4.fc39.noarch curl-8.2.1-5.fc39.aarch64 cyrus-sasl-lib-2.1.28-11.fc39.aarch64 debugedit-5.0-12.fc39.aarch64 diffutils-3.10-3.fc39.aarch64 dwz-0.15-3.fc39.aarch64 ed-1.19-4.fc39.aarch64 efi-srpm-macros-5-9.fc39.noarch elfutils-0.191-2.fc39.aarch64 elfutils-debuginfod-client-0.191-2.fc39.aarch64 elfutils-default-yama-scope-0.191-2.fc39.noarch elfutils-libelf-0.191-2.fc39.aarch64 elfutils-libs-0.191-2.fc39.aarch64 fedora-gpg-keys-39-2.noarch fedora-release-39-36.noarch fedora-release-common-39-36.noarch fedora-release-identity-basic-39-36.noarch fedora-repos-39-2.noarch file-5.44-5.fc39.aarch64 file-libs-5.44-5.fc39.aarch64 filesystem-3.18-6.fc39.aarch64 findutils-1:4.9.0-6.fc39.aarch64 fonts-srpm-macros-1:2.0.5-12.fc39.noarch forge-srpm-macros-0.3.1-1.fc39.noarch fpc-srpm-macros-1.3-8.fc39.noarch gawk-5.2.2-2.fc39.aarch64 gdb-minimal-15.1-1.fc39.aarch64 gdbm-libs-1:1.23-4.fc39.aarch64 ghc-srpm-macros-1.6.1-2.fc39.noarch glibc-2.38-99.fc39.aarch64 glibc-common-2.38-99.fc39.aarch64 glibc-gconv-extra-2.38-99.fc39.aarch64 glibc-minimal-langpack-2.38-99.fc39.aarch64 gmp-1:6.2.1-5.fc39.aarch64 gnat-srpm-macros-6-3.fc39.noarch go-srpm-macros-3.5.0-1.fc39.noarch grep-3.11-3.fc39.aarch64 gzip-1.12-6.fc39.aarch64 info-7.0.3-3.fc39.aarch64 jansson-2.13.1-7.fc39.aarch64 kernel-srpm-macros-1.0-20.fc39.noarch keyutils-libs-1.6.3-1.fc39.aarch64 krb5-libs-1.21.3-1.fc39.aarch64 libacl-2.3.1-9.fc39.aarch64 libarchive-3.7.1-2.fc39.aarch64 libattr-2.5.1-8.fc39.aarch64 libblkid-2.39.4-1.fc39.aarch64 libbrotli-1.1.0-1.fc39.aarch64 libcap-2.48-9.fc39.aarch64 libcap-ng-0.8.3-8.fc39.aarch64 libcom_err-1.47.0-2.fc39.aarch64 libcurl-8.2.1-5.fc39.aarch64 libdb-5.3.28-56.fc39.aarch64 libeconf-0.5.2-2.fc39.aarch64 libevent-2.1.12-9.fc39.aarch64 libfdisk-2.39.4-1.fc39.aarch64 libffi-3.4.4-4.fc39.aarch64 libgcc-13.3.1-3.fc39.aarch64 libgomp-13.3.1-3.fc39.aarch64 libidn2-2.3.7-1.fc39.aarch64 libmount-2.39.4-1.fc39.aarch64 libnghttp2-1.55.1-5.fc39.aarch64 libnsl2-2.0.0-6.fc39.aarch64 libpkgconf-1.9.5-2.fc39.aarch64 libpsl-0.21.2-4.fc39.aarch64 libpwquality-1.4.5-6.fc39.aarch64 libselinux-3.5-5.fc39.aarch64 libsemanage-3.5-4.fc39.aarch64 libsepol-3.5-2.fc39.aarch64 libsigsegv-2.14-5.fc39.aarch64 libsmartcols-2.39.4-1.fc39.aarch64 libssh-0.10.6-2.fc39.aarch64 libssh-config-0.10.6-2.fc39.noarch libstdc++-13.3.1-3.fc39.aarch64 libtasn1-4.19.0-3.fc39.aarch64 libtirpc-1.3.5-0.fc39.aarch64 libtool-ltdl-2.4.7-7.fc39.aarch64 libunistring-1.1-5.fc39.aarch64 libutempter-1.2.1-10.fc39.aarch64 libuuid-2.39.4-1.fc39.aarch64 libverto-0.3.2-6.fc39.aarch64 libxcrypt-4.4.36-2.fc39.aarch64 libxml2-2.10.4-3.fc39.aarch64 libzstd-1.5.6-1.fc39.aarch64 lua-libs-5.4.6-3.fc39.aarch64 lua-srpm-macros-1-13.fc39.noarch lz4-libs-1.9.4-4.fc39.aarch64 mpfr-4.2.0-3.fc39.aarch64 ncurses-base-6.4-7.20230520.fc39.1.noarch ncurses-libs-6.4-7.20230520.fc39.1.aarch64 ocaml-srpm-macros-8-2.fc39.noarch openblas-srpm-macros-2-14.fc39.noarch openldap-2.6.7-1.fc39.aarch64 openssl-libs-1:3.1.4-4.fc39.aarch64 p11-kit-0.25.5-1.fc39.aarch64 p11-kit-trust-0.25.5-1.fc39.aarch64 package-notes-srpm-macros-0.5-9.fc39.noarch pam-1.5.3-3.fc39.aarch64 pam-libs-1.5.3-3.fc39.aarch64 patch-2.7.6-22.fc39.aarch64 pcre2-10.42-1.fc39.2.aarch64 pcre2-syntax-10.42-1.fc39.2.noarch perl-srpm-macros-1-51.fc39.noarch pkgconf-1.9.5-2.fc39.aarch64 pkgconf-m4-1.9.5-2.fc39.noarch pkgconf-pkg-config-1.9.5-2.fc39.aarch64 popt-1.19-3.fc39.aarch64 publicsuffix-list-dafsa-20240107-1.fc39.noarch pyproject-srpm-macros-1.13.0-1.fc39.noarch python-srpm-macros-3.12-8.fc39.noarch qt5-srpm-macros-5.15.14-2.fc39.noarch qt6-srpm-macros-6.6.2-1.fc39.noarch readline-8.2-6.fc39.aarch64 redhat-rpm-config-266-1.fc39.noarch rpm-4.19.1.1-1.fc39.aarch64 rpm-build-4.19.1.1-1.fc39.aarch64 rpm-build-libs-4.19.1.1-1.fc39.aarch64 rpm-libs-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.7.0-1.fc39.aarch64 rpmautospec-rpm-macros-0.7.2-1.fc39.noarch rust-srpm-macros-26.3-1.fc39.noarch sed-4.8-14.fc39.aarch64 setup-2.14.4-1.fc39.noarch shadow-utils-2:4.14.0-2.fc39.aarch64 sqlite-libs-3.42.0-7.fc39.aarch64 systemd-libs-254.18-1.fc39.aarch64 tar-2:1.35-2.fc39.aarch64 unzip-6.0-62.fc39.aarch64 util-linux-2.39.4-1.fc39.aarch64 util-linux-core-2.39.4-1.fc39.aarch64 which-2.21-40.fc39.aarch64 xxhash-libs-0.8.2-1.fc39.aarch64 xz-5.4.4-1.fc39.aarch64 xz-libs-5.4.4-1.fc39.aarch64 zip-3.0-39.fc39.aarch64 zlib-1.2.13-4.fc39.aarch64 zstd-1.5.6-1.fc39.aarch64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.26-1.fc39.aarch64 ansible-srpm-macros-1-12.fc39.noarch audit-libs-3.1.5-1.fc39.aarch64 authselect-1.4.3-1.fc39.aarch64 authselect-libs-1.4.3-1.fc39.aarch64 basesystem-11-18.fc39.noarch bash-5.2.26-1.fc39.aarch64 binutils-2.40-14.fc39.aarch64 binutils-gold-2.40-14.fc39.aarch64 bzip2-1.0.8-16.fc39.aarch64 bzip2-libs-1.0.8-16.fc39.aarch64 ca-certificates-2023.2.60_v7.0.306-2.fc39.noarch coreutils-9.3-6.fc39.aarch64 coreutils-common-9.3-6.fc39.aarch64 cpio-2.14-4.fc39.aarch64 cracklib-2.9.11-2.fc39.aarch64 crypto-policies-20231204-1.git1e3a2e4.fc39.noarch curl-8.2.1-5.fc39.aarch64 cyrus-sasl-lib-2.1.28-11.fc39.aarch64 debugedit-5.0-12.fc39.aarch64 diffutils-3.10-3.fc39.aarch64 dwz-0.15-3.fc39.aarch64 ed-1.19-4.fc39.aarch64 efi-srpm-macros-5-9.fc39.noarch elfutils-0.191-2.fc39.aarch64 elfutils-debuginfod-client-0.191-2.fc39.aarch64 elfutils-default-yama-scope-0.191-2.fc39.noarch elfutils-libelf-0.191-2.fc39.aarch64 elfutils-libs-0.191-2.fc39.aarch64 fedora-gpg-keys-39-2.noarch fedora-release-39-36.noarch fedora-release-common-39-36.noarch fedora-release-identity-basic-39-36.noarch fedora-repos-39-2.noarch file-5.44-5.fc39.aarch64 file-libs-5.44-5.fc39.aarch64 filesystem-3.18-6.fc39.aarch64 findutils-4.9.0-6.fc39.aarch64 fonts-srpm-macros-2.0.5-12.fc39.noarch forge-srpm-macros-0.3.1-1.fc39.noarch fpc-srpm-macros-1.3-8.fc39.noarch gawk-5.2.2-2.fc39.aarch64 gdb-minimal-15.1-1.fc39.aarch64 gdbm-libs-1.23-4.fc39.aarch64 ghc-srpm-macros-1.6.1-2.fc39.noarch glibc-2.38-99.fc39.aarch64 glibc-common-2.38-99.fc39.aarch64 glibc-gconv-extra-2.38-99.fc39.aarch64 glibc-minimal-langpack-2.38-99.fc39.aarch64 gmp-6.2.1-5.fc39.aarch64 gnat-srpm-macros-6-3.fc39.noarch go-srpm-macros-3.5.0-1.fc39.noarch gpg-pubkey-18b8e74c-62f2920f grep-3.11-3.fc39.aarch64 gzip-1.12-6.fc39.aarch64 info-7.0.3-3.fc39.aarch64 jansson-2.13.1-7.fc39.aarch64 kernel-srpm-macros-1.0-20.fc39.noarch keyutils-libs-1.6.3-1.fc39.aarch64 krb5-libs-1.21.3-1.fc39.aarch64 libacl-2.3.1-9.fc39.aarch64 libarchive-3.7.1-2.fc39.aarch64 libattr-2.5.1-8.fc39.aarch64 libblkid-2.39.4-1.fc39.aarch64 libbrotli-1.1.0-1.fc39.aarch64 libcap-2.48-9.fc39.aarch64 libcap-ng-0.8.3-8.fc39.aarch64 libcom_err-1.47.0-2.fc39.aarch64 libcurl-8.2.1-5.fc39.aarch64 libdb-5.3.28-56.fc39.aarch64 libeconf-0.5.2-2.fc39.aarch64 libevent-2.1.12-9.fc39.aarch64 libfdisk-2.39.4-1.fc39.aarch64 libffi-3.4.4-4.fc39.aarch64 libgcc-13.3.1-3.fc39.aarch64 libgomp-13.3.1-3.fc39.aarch64 libidn2-2.3.7-1.fc39.aarch64 libmount-2.39.4-1.fc39.aarch64 libnghttp2-1.55.1-5.fc39.aarch64 libnsl2-2.0.0-6.fc39.aarch64 libpkgconf-1.9.5-2.fc39.aarch64 libpsl-0.21.2-4.fc39.aarch64 libpwquality-1.4.5-6.fc39.aarch64 libselinux-3.5-5.fc39.aarch64 libsemanage-3.5-4.fc39.aarch64 libsepol-3.5-2.fc39.aarch64 libsigsegv-2.14-5.fc39.aarch64 libsmartcols-2.39.4-1.fc39.aarch64 libssh-0.10.6-2.fc39.aarch64 libssh-config-0.10.6-2.fc39.noarch libstdc++-13.3.1-3.fc39.aarch64 libtasn1-4.19.0-3.fc39.aarch64 libtirpc-1.3.5-0.fc39.aarch64 libtool-ltdl-2.4.7-7.fc39.aarch64 libunistring-1.1-5.fc39.aarch64 libutempter-1.2.1-10.fc39.aarch64 libuuid-2.39.4-1.fc39.aarch64 libverto-0.3.2-6.fc39.aarch64 libxcrypt-4.4.36-2.fc39.aarch64 libxml2-2.10.4-3.fc39.aarch64 libzstd-1.5.6-1.fc39.aarch64 lua-libs-5.4.6-3.fc39.aarch64 lua-srpm-macros-1-13.fc39.noarch lz4-libs-1.9.4-4.fc39.aarch64 mpfr-4.2.0-3.fc39.aarch64 ncurses-base-6.4-7.20230520.fc39.1.noarch ncurses-libs-6.4-7.20230520.fc39.1.aarch64 ocaml-srpm-macros-8-2.fc39.noarch openblas-srpm-macros-2-14.fc39.noarch openldap-2.6.7-1.fc39.aarch64 openssl-libs-3.1.4-4.fc39.aarch64 p11-kit-0.25.5-1.fc39.aarch64 p11-kit-trust-0.25.5-1.fc39.aarch64 package-notes-srpm-macros-0.5-9.fc39.noarch pam-1.5.3-3.fc39.aarch64 pam-libs-1.5.3-3.fc39.aarch64 patch-2.7.6-22.fc39.aarch64 pcre2-10.42-1.fc39.2.aarch64 pcre2-syntax-10.42-1.fc39.2.noarch perl-srpm-macros-1-51.fc39.noarch pkgconf-1.9.5-2.fc39.aarch64 pkgconf-m4-1.9.5-2.fc39.noarch pkgconf-pkg-config-1.9.5-2.fc39.aarch64 popt-1.19-3.fc39.aarch64 publicsuffix-list-dafsa-20240107-1.fc39.noarch pyproject-srpm-macros-1.13.0-1.fc39.noarch python-srpm-macros-3.12-8.fc39.noarch qt5-srpm-macros-5.15.14-2.fc39.noarch qt6-srpm-macros-6.6.2-1.fc39.noarch readline-8.2-6.fc39.aarch64 redhat-rpm-config-266-1.fc39.noarch rpm-4.19.1.1-1.fc39.aarch64 rpm-build-4.19.1.1-1.fc39.aarch64 rpm-build-libs-4.19.1.1-1.fc39.aarch64 rpm-libs-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.7.0-1.fc39.aarch64 rpmautospec-rpm-macros-0.7.2-1.fc39.noarch rust-srpm-macros-26.3-1.fc39.noarch sed-4.8-14.fc39.aarch64 setup-2.14.4-1.fc39.noarch shadow-utils-4.14.0-2.fc39.aarch64 sqlite-libs-3.42.0-7.fc39.aarch64 systemd-libs-254.18-1.fc39.aarch64 tar-1.35-2.fc39.aarch64 unzip-6.0-62.fc39.aarch64 util-linux-2.39.4-1.fc39.aarch64 util-linux-core-2.39.4-1.fc39.aarch64 which-2.21-40.fc39.aarch64 xxhash-libs-0.8.2-1.fc39.aarch64 xz-5.4.4-1.fc39.aarch64 xz-libs-5.4.4-1.fc39.aarch64 zip-3.0-39.fc39.aarch64 zlib-1.2.13-4.fc39.aarch64 zstd-1.5.6-1.fc39.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log/dnf.rpm.log /var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-uiwa5zxt/nextpnr/nextpnr.spec) Config(child) 1 minutes 23 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm) Config(fedora-39-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-bootstrap-1727898127.440753/root. INFO: reusing tmpfs at /var/lib/mock/fedora-39-aarch64-bootstrap-1727898127.440753/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-aarch64-1727898127.440753/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.aarch64 rpm-sequoia-1.7.0-1.fc39.aarch64 python3-dnf-4.21.1-1.fc39.noarch python3-dnf-plugins-core-4.9.0-1.fc39.noarch yum-4.21.1-1.fc39.noarch Finish: chroot init Start: build phase for nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm Start: build setup for nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 84 kB/s | 1.5 kB 00:00 Additional repo copr_rezso_ML 85 kB/s | 1.5 kB 00:00 Additional repo copr_rezso_CUDA 87 kB/s | 1.5 kB 00:00 Additional repo http_developer_download_nvidia_ 1.1 MB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 854 kB/s | 3.5 kB 00:00 Additional repo http_developer_download_nvidia_ 1.0 MB/s | 3.5 kB 00:00 fedora 569 kB/s | 16 kB 00:00 updates 96 kB/s | 15 kB 00:00 Dependencies resolved. =============================================================================================== Package Arch Version Repository Size =============================================================================================== Installing: boost-devel aarch64 1.81.0-11.fc39 updates 14 M boost-filesystem aarch64 1.81.0-11.fc39 updates 59 k boost-iostreams aarch64 1.81.0-11.fc39 updates 36 k boost-program-options aarch64 1.81.0-11.fc39 updates 100 k boost-thread aarch64 1.81.0-11.fc39 updates 52 k capnproto aarch64 1.0.1-1.fc39 fedora 257 k capnproto-devel aarch64 1.0.1-1.fc39 fedora 379 k cmake aarch64 3.27.7-1.fc39 fedora 7.4 M eigen3-devel noarch 3.4.0-12.fc39 fedora 1.2 M gcc-c++ aarch64 13.3.1-3.fc39 updates 12 M git aarch64 2.46.2-1.fc39 updates 52 k icestorm aarch64 0-20240624.0.git738af822.fc39 copr_base 10 M json11-devel aarch64 1.0.0-10.fc39 copr_base 12 k make aarch64 1:4.4.1-2.fc39 fedora 585 k prjapicula noarch 0.14-20240912.0.git4f87247f.fc39 copr_base 8.4 M prjoxide-devel aarch64 0-20240105.0.git30712ff9.fc39 copr_base 19 k prjtrellis-devel aarch64 1.4-20240129.2.git2dab0095.fc39 copr_base 30 k prjtrellis-python3 aarch64 1.4-20240129.2.git2dab0095.fc39 copr_base 819 k prjxray aarch64 0.0.1-20240928.0.gitf2d21573.fc39 copr_base 151 k pybind11-devel aarch64 2.11.1-1.fc39 fedora 176 k pypy3.10 aarch64 7.3.15-2.3.10.fc39 updates 13 k python3-devel aarch64 3.12.6-1.fc39 updates 313 k tbb-devel aarch64 2020.3-20.fc39 fedora 335 k tcl-devel aarch64 1:8.6.12-5.fc39 fedora 169 k tk-devel aarch64 1:8.6.12-5.fc39 fedora 537 k wget aarch64 1.21.4-1.fc39 updates 807 k yum noarch 4.21.1-1.fc39 updates 37 k Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-10.fc39 fedora 121 k annobin-docs noarch 12.60-1.fc39 updates 88 k annobin-plugin-gcc aarch64 12.60-1.fc39 updates 964 k boost aarch64 1.81.0-11.fc39 updates 9.6 k boost-atomic aarch64 1.81.0-11.fc39 updates 15 k boost-chrono aarch64 1.81.0-11.fc39 updates 21 k boost-container aarch64 1.81.0-11.fc39 updates 35 k boost-context aarch64 1.81.0-11.fc39 updates 13 k boost-contract aarch64 1.81.0-11.fc39 updates 39 k boost-coroutine aarch64 1.81.0-11.fc39 updates 16 k boost-date-time aarch64 1.81.0-11.fc39 updates 11 k boost-fiber aarch64 1.81.0-11.fc39 updates 37 k boost-graph aarch64 1.81.0-11.fc39 updates 138 k boost-json aarch64 1.81.0-11.fc39 updates 102 k boost-locale aarch64 1.81.0-11.fc39 updates 201 k boost-log aarch64 1.81.0-11.fc39 updates 453 k boost-math aarch64 1.81.0-11.fc39 updates 254 k boost-nowide aarch64 1.81.0-11.fc39 updates 17 k boost-numpy3 aarch64 1.81.0-11.fc39 updates 24 k boost-python3 aarch64 1.81.0-11.fc39 updates 85 k boost-random aarch64 1.81.0-11.fc39 updates 20 k boost-regex aarch64 1.81.0-11.fc39 updates 103 k boost-serialization aarch64 1.81.0-11.fc39 updates 122 k boost-stacktrace aarch64 1.81.0-11.fc39 updates 25 k boost-system aarch64 1.81.0-11.fc39 updates 11 k boost-test aarch64 1.81.0-11.fc39 updates 223 k boost-timer aarch64 1.81.0-11.fc39 updates 19 k boost-type_erasure aarch64 1.81.0-11.fc39 updates 27 k boost-wave aarch64 1.81.0-11.fc39 updates 216 k brotli aarch64 1.1.0-1.fc39 fedora 19 k brotli-devel aarch64 1.1.0-1.fc39 fedora 34 k bzip2-devel aarch64 1.0.8-16.fc39 fedora 214 k cairo aarch64 1.18.0-1.fc39 fedora 692 k capnproto-libs aarch64 1.0.1-1.fc39 fedora 1.3 M cmake-data noarch 3.27.7-1.fc39 fedora 2.2 M cmake-filesystem aarch64 3.27.7-1.fc39 fedora 19 k cmake-rpm-macros noarch 3.27.7-1.fc39 fedora 18 k cpp aarch64 13.3.1-3.fc39 updates 9.6 M dbus aarch64 1:1.14.10-1.fc39 fedora 8.1 k dbus-broker aarch64 36-2.fc39 updates 173 k dbus-common noarch 1:1.14.10-1.fc39 fedora 15 k default-fonts-core-sans noarch 4.0-9.fc39 fedora 32 k dnf noarch 4.21.1-1.fc39 updates 475 k dnf-data noarch 4.21.1-1.fc39 updates 39 k emacs-filesystem noarch 1:29.4-2.fc39 updates 7.3 k expat aarch64 2.6.3-1.fc39 updates 112 k fasm-python3 noarch 0.0.2-20220725.4.gitffafe821.fc39 copr_base 42 k flexiblas aarch64 3.4.4-1.fc39 updates 25 k flexiblas-netlib aarch64 3.4.4-1.fc39 updates 2.6 M flexiblas-openblas-openmp aarch64 3.4.4-1.fc39 updates 16 k fontconfig aarch64 2.14.2-6.fc39 updates 302 k fontconfig-devel aarch64 2.14.2-6.fc39 updates 164 k fonts-filesystem noarch 1:2.0.5-12.fc39 fedora 8.2 k freetype aarch64 2.13.1-2.fc39 fedora 406 k freetype-devel aarch64 2.13.1-2.fc39 fedora 950 k gc aarch64 8.2.2-4.fc39 fedora 110 k gcc aarch64 13.3.1-3.fc39 updates 31 M gcc-plugin-annobin aarch64 13.3.1-3.fc39 updates 58 k gettext aarch64 0.22-2.fc39 fedora 1.1 M gettext-envsubst aarch64 0.22-2.fc39 fedora 36 k gettext-libs aarch64 0.22-2.fc39 fedora 310 k gettext-runtime aarch64 0.22-2.fc39 fedora 119 k gflags aarch64 2.2.2-12.fc39 fedora 86 k git-core aarch64 2.46.2-1.fc39 updates 4.9 M git-core-doc noarch 2.46.2-1.fc39 updates 3.0 M glib2 aarch64 2.78.6-1.fc39 updates 2.8 M glib2-devel aarch64 2.78.6-1.fc39 updates 595 k glibc-devel aarch64 2.38-99.fc39 copr_rezso_ML 498 k gnupg2 aarch64 2.4.4-1.fc39 updates 2.7 M gnutls aarch64 3.8.6-1.fc39 updates 1.1 M google-noto-fonts-common noarch 20240101-1.fc39 updates 17 k google-noto-sans-vf-fonts noarch 20240101-1.fc39 updates 593 k gpgme aarch64 1.20.0-5.fc39 fedora 209 k graphite2 aarch64 1.3.14-12.fc39 fedora 93 k graphite2-devel aarch64 1.3.14-12.fc39 fedora 20 k groff-base aarch64 1.23.0-3.fc39 updates 1.1 M guile22 aarch64 2.2.7-9.fc39 fedora 6.5 M harfbuzz aarch64 8.2.1-2.fc39 fedora 934 k harfbuzz-devel aarch64 8.2.1-2.fc39 fedora 449 k harfbuzz-icu aarch64 8.2.1-2.fc39 fedora 17 k ima-evm-utils aarch64 1.5-2.fc39 fedora 63 k json-c aarch64 0.17-1.fc39 fedora 44 k json11 aarch64 1.0.0-10.fc39 copr_base 38 k jsoncpp aarch64 1.9.5-5.fc39 fedora 91 k kernel-headers aarch64 6.10.3-200.fc39 updates 1.6 M kmod-libs aarch64 30-6.fc39 fedora 67 k less aarch64 633-4.fc39 updates 176 k libX11 aarch64 1.8.9-1.fc39 updates 639 k libX11-common noarch 1.8.9-1.fc39 updates 176 k libX11-devel aarch64 1.8.9-1.fc39 updates 1.0 M libX11-xcb aarch64 1.8.9-1.fc39 updates 12 k libXau aarch64 1.0.11-3.fc39 fedora 32 k libXau-devel aarch64 1.0.11-3.fc39 fedora 14 k libXext aarch64 1.3.5-3.fc39 fedora 39 k libXft aarch64 2.3.8-3.fc39 fedora 71 k libXft-devel aarch64 2.3.8-3.fc39 fedora 50 k libXrender aarch64 0.9.11-3.fc39 fedora 27 k libXrender-devel aarch64 0.9.11-3.fc39 fedora 19 k libasan aarch64 13.3.1-3.fc39 updates 459 k libassuan aarch64 2.5.6-2.fc39 fedora 66 k libatomic aarch64 13.3.1-3.fc39 updates 47 k libb2 aarch64 0.98.1-9.fc39 fedora 24 k libblkid-devel aarch64 2.39.4-1.fc39 updates 18 k libcbor aarch64 0.10.2-2.fc39 fedora 57 k libcomps aarch64 0.1.20-1.fc39 updates 75 k libdnf aarch64 0.73.3-1.fc39 updates 629 k libedit aarch64 3.1-53.20240808cvs.fc39 updates 107 k libffi-devel aarch64 3.4.4-4.fc39 fedora 28 k libfido2 aarch64 1.13.0-3.fc39 fedora 96 k libfsverity aarch64 1.4-10.fc39 fedora 19 k libftdi aarch64 1.5-10.fc39 fedora 44 k libgcrypt aarch64 1.10.2-2.fc39 fedora 451 k libgfortran aarch64 13.3.1-3.fc39 updates 443 k libgpg-error aarch64 1.47-2.fc39 fedora 230 k libicu aarch64 73.2-2.fc39 fedora 10 M libicu-devel aarch64 73.2-2.fc39 fedora 925 k libksba aarch64 1.6.4-2.fc39 fedora 157 k libmetalink aarch64 0.1.3-32.fc39 fedora 32 k libmodulemd aarch64 2.15.0-5.fc39 fedora 210 k libmount-devel aarch64 2.39.4-1.fc39 updates 18 k libmpc aarch64 1.3.1-3.fc39 fedora 72 k libpng aarch64 2:1.6.37-15.fc39 fedora 115 k libpng-devel aarch64 2:1.6.37-15.fc39 fedora 291 k librepo aarch64 1.18.1-1.fc39 updates 97 k libseccomp aarch64 2.5.5-1.fc39 updates 71 k libselinux-devel aarch64 3.5-5.fc39 fedora 151 k libsepol-devel aarch64 3.5-2.fc39 fedora 49 k libsolv aarch64 0.7.30-1.fc39 updates 405 k libstdc++-devel aarch64 13.3.1-3.fc39 updates 2.6 M libubsan aarch64 13.3.1-3.fc39 updates 214 k libusb1 aarch64 1.0.27-2.fc39 updates 76 k libuv aarch64 1:1.48.0-1.fc39 updates 249 k libxcb aarch64 1.13.1-12.fc39 fedora 238 k libxcb-devel aarch64 1.13.1-12.fc39 fedora 1.4 M libxcrypt-devel aarch64 4.4.36-2.fc39 fedora 30 k libxml2-devel aarch64 2.10.4-3.fc39 fedora 527 k libyaml aarch64 0.2.5-12.fc39 fedora 59 k mpdecimal aarch64 2.5.1-7.fc39 fedora 90 k ncurses aarch64 6.4-7.20230520.fc39.1 updates 414 k nettle aarch64 3.9.1-2.fc39 fedora 434 k npth aarch64 1.6-14.fc39 fedora 25 k openblas aarch64 0.3.21-6.fc39 fedora 35 k openblas-openmp aarch64 0.3.21-6.fc39 fedora 3.7 M openssh aarch64 9.3p1-11.fc39 updates 431 k openssh-clients aarch64 9.3p1-11.fc39 updates 731 k pcre2-devel aarch64 10.42-1.fc39.2 fedora 505 k pcre2-utf16 aarch64 10.42-1.fc39.2 fedora 199 k pcre2-utf32 aarch64 10.42-1.fc39.2 fedora 187 k perl-AutoLoader noarch 5.74-502.fc39 updates 21 k perl-B aarch64 1.88-502.fc39 updates 178 k perl-Carp noarch 1.54-500.fc39 fedora 29 k perl-Class-Struct noarch 0.68-502.fc39 updates 22 k perl-Data-Dumper aarch64 2.188-501.fc39 fedora 55 k perl-Digest noarch 1.20-500.fc39 fedora 25 k perl-Digest-MD5 aarch64 2.58-500.fc39 fedora 36 k perl-DynaLoader aarch64 1.54-502.fc39 updates 26 k perl-Encode aarch64 4:3.19-500.fc39 fedora 1.7 M perl-Errno aarch64 1.37-502.fc39 updates 15 k perl-Error noarch 1:0.17029-13.fc39 fedora 40 k perl-Exporter noarch 5.77-500.fc39 fedora 31 k perl-Fcntl aarch64 1.15-502.fc39 updates 21 k perl-File-Basename noarch 2.86-502.fc39 updates 17 k perl-File-Find noarch 1.43-502.fc39 updates 25 k perl-File-Path noarch 2.18-500.fc39 fedora 35 k perl-File-Temp noarch 1:0.231.100-500.fc39 fedora 58 k perl-File-stat noarch 1.13-502.fc39 updates 17 k perl-FileHandle noarch 2.05-502.fc39 updates 16 k perl-Getopt-Long noarch 1:2.54-500.fc39 fedora 60 k perl-Getopt-Std noarch 1.13-502.fc39 updates 16 k perl-Git noarch 2.46.2-1.fc39 updates 38 k perl-HTTP-Tiny noarch 0.088-3.fc39 fedora 56 k perl-IO aarch64 1.52-502.fc39 updates 83 k perl-IO-Socket-IP noarch 0.42-1.fc39 fedora 42 k perl-IO-Socket-SSL noarch 2.083-3.fc39 fedora 225 k perl-IPC-Open3 noarch 1.22-502.fc39 updates 22 k perl-MIME-Base64 aarch64 3.16-500.fc39 fedora 30 k perl-Mozilla-CA noarch 20230801-1.fc39 fedora 13 k perl-Net-SSLeay aarch64 1.92-10.fc39 fedora 356 k perl-POSIX aarch64 2.13-502.fc39 updates 98 k perl-PathTools aarch64 3.89-500.fc39 fedora 88 k perl-Pod-Escapes noarch 1:1.07-500.fc39 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-501.fc39 fedora 86 k perl-Pod-Simple noarch 1:3.45-4.fc39 fedora 218 k perl-Pod-Usage noarch 4:2.03-500.fc39 fedora 39 k perl-Scalar-List-Utils aarch64 5:1.63-500.fc39 fedora 71 k perl-SelectSaver noarch 1.02-502.fc39 updates 12 k perl-Socket aarch64 4:2.037-3.fc39 fedora 56 k perl-Storable aarch64 1:3.32-500.fc39 fedora 97 k perl-Symbol noarch 1.09-502.fc39 updates 14 k perl-Term-ANSIColor noarch 5.01-501.fc39 fedora 47 k perl-Term-Cap noarch 1.18-500.fc39 fedora 22 k perl-TermReadKey aarch64 2.38-18.fc39 fedora 35 k perl-Text-ParseWords noarch 3.31-500.fc39 fedora 16 k perl-Text-Tabs+Wrap noarch 2023.0511-3.fc39 fedora 22 k perl-Time-Local noarch 2:1.350-3.fc39 fedora 34 k perl-URI noarch 5.21-1.fc39 fedora 125 k perl-base noarch 2.27-502.fc39 updates 16 k perl-constant noarch 1.33-501.fc39 fedora 22 k perl-if noarch 0.61.000-502.fc39 updates 14 k perl-interpreter aarch64 4:5.38.2-502.fc39 updates 72 k perl-lib aarch64 0.65-502.fc39 updates 15 k perl-libnet noarch 3.15-501.fc39 fedora 129 k perl-libs aarch64 4:5.38.2-502.fc39 updates 2.3 M perl-locale noarch 1.10-502.fc39 updates 14 k perl-mro aarch64 1.28-502.fc39 updates 29 k perl-overload noarch 1.37-502.fc39 updates 46 k perl-overloading noarch 0.02-502.fc39 updates 13 k perl-parent noarch 1:0.241-500.fc39 fedora 14 k perl-podlators noarch 1:5.01-500.fc39 fedora 125 k perl-vars noarch 1.05-502.fc39 updates 13 k pixman aarch64 0.42.2-2.fc39 fedora 216 k prjoxide aarch64 0-20240105.0.git30712ff9.fc39 copr_base 2.9 M prjoxide-data noarch 0-20240105.0.git30712ff9.fc39 copr_base 945 k prjtrellis aarch64 1.4-20240129.2.git2dab0095.fc39 copr_base 472 k prjtrellis-data noarch 1.4-20240129.2.git2dab0095.fc39 copr_base 1.1 M prjxray-data noarch 0.0.1-20240928.0.gitf2d21573.fc39 copr_base 6.6 M prjxray-python3 aarch64 0.0.1-20240928.0.gitf2d21573.fc39 copr_base 3.7 M pyproject-rpm-macros noarch 1.13.0-1.fc39 updates 42 k pypy3.10-libs aarch64 7.3.15-2.3.10.fc39 updates 18 M python-pip-wheel noarch 23.2.1-2.fc39 updates 1.5 M python-rpm-macros noarch 3.12-8.fc39 updates 18 k python-setuptools-wheel noarch 67.7.2-8.fc39 updates 660 k python3 aarch64 3.12.6-1.fc39 updates 28 k python3-arpeggio noarch 1.10.2-9.fc39 fedora 152 k python3-crc noarch 7.0.0-1.fc39 copr_base 26 k python3-dnf noarch 4.21.1-1.fc39 updates 620 k python3-hawkey aarch64 0.73.3-1.fc39 updates 99 k python3-intervaltree noarch 3.1.0-10.fc39 fedora 60 k python3-libcomps aarch64 0.1.20-1.fc39 updates 48 k python3-libdnf aarch64 0.73.3-1.fc39 updates 807 k python3-libs aarch64 3.12.6-1.fc39 updates 9.1 M python3-numpy aarch64 1:1.24.4-2.fc39 fedora 7.2 M python3-packaging noarch 23.1-4.fc39 fedora 114 k python3-pyyaml aarch64 6.0.1-11.fc39 fedora 223 k python3-rpm aarch64 4.19.1.1-1.fc39 updates 68 k python3-rpm-generators noarch 14-7.fc39 fedora 30 k python3-rpm-macros noarch 3.12-8.fc39 updates 12 k python3-setuptools noarch 67.7.2-8.fc39 updates 1.5 M python3-simplejson aarch64 3.19.2-1.fc39 updates 162 k python3-sortedcontainers noarch 2.4.0-13.fc39 fedora 60 k rhash aarch64 1.4.3-3.fc39 fedora 192 k rpm-sign-libs aarch64 4.19.1.1-1.fc39 updates 26 k sysprof-capture-devel aarch64 45.1-1.fc39 updates 61 k systemd aarch64 254.18-1.fc39 updates 4.6 M systemd-pam aarch64 254.18-1.fc39 updates 349 k systemd-rpm-macros noarch 254.18-1.fc39 updates 25 k tbb aarch64 2020.3-20.fc39 fedora 140 k tcl aarch64 1:8.6.12-5.fc39 fedora 1.1 M textx-python3 noarch 4.0.1-20240808.0.git45bba74b.fc39 copr_base 1.7 M tk aarch64 1:8.6.12-5.fc39 fedora 1.6 M tpm2-tss aarch64 4.0.2-1.fc39 updates 377 k tzdata noarch 2024a-2.fc39 updates 715 k vim-filesystem noarch 2:9.1.719-1.fc39 updates 17 k xml-common noarch 0.6.3-61.fc39 fedora 31 k xorg-x11-proto-devel noarch 2023.2-2.fc39 fedora 298 k xz-devel aarch64 5.4.4-1.fc39 fedora 66 k yaml-cpp aarch64 0.7.0-4.fc39 fedora 118 k zchunk-libs aarch64 1.5.1-1.fc39 updates 52 k zlib-devel aarch64 1.2.13-4.fc39 fedora 45 k Transaction Summary =============================================================================================== Install 286 Packages Total download size: 245 M Installed size: 1.6 G Downloading Packages: (1/286): json11-1.0.0-10.fc39.aarch64.rpm 1.5 MB/s | 38 kB 00:00 (2/286): json11-devel-1.0.0-10.fc39.aarch64.rpm 2.9 MB/s | 12 kB 00:00 (3/286): fasm-python3-0.0.2-20220725.4.gitffafe 1.1 MB/s | 42 kB 00:00 (4/286): prjoxide-0-20240105.0.git30712ff9.fc39 128 MB/s | 2.9 MB 00:00 (5/286): icestorm-0-20240624.0.git738af822.fc39 129 MB/s | 10 MB 00:00 (6/286): prjoxide-data-0-20240105.0.git30712ff9 46 MB/s | 945 kB 00:00 (7/286): prjapicula-0.14-20240912.0.git4f87247f 134 MB/s | 8.4 MB 00:00 (8/286): prjoxide-devel-0-20240105.0.git30712ff 1.8 MB/s | 19 kB 00:00 (9/286): prjtrellis-1.4-20240129.2.git2dab0095. 48 MB/s | 472 kB 00:00 (10/286): prjtrellis-devel-1.4-20240129.2.git2d 7.1 MB/s | 30 kB 00:00 (11/286): prjtrellis-data-1.4-20240129.2.git2da 104 MB/s | 1.1 MB 00:00 (12/286): prjxray-0.0.1-20240928.0.gitf2d21573. 18 MB/s | 151 kB 00:00 (13/286): prjtrellis-python3-1.4-20240129.2.git 33 MB/s | 819 kB 00:00 (14/286): prjxray-python3-0.0.1-20240928.0.gitf 176 MB/s | 3.7 MB 00:00 (15/286): python3-crc-7.0.0-1.fc39.noarch.rpm 3.8 MB/s | 26 kB 00:00 (16/286): glibc-devel-2.38-99.fc39.aarch64.rpm 111 MB/s | 498 kB 00:00 (17/286): prjxray-data-0.0.1-20240928.0.gitf2d2 144 MB/s | 6.6 MB 00:00 (18/286): textx-python3-4.0.1-20240808.0.git45b 70 MB/s | 1.7 MB 00:00 (19/286): brotli-1.1.0-1.fc39.aarch64.rpm 636 kB/s | 19 kB 00:00 (20/286): brotli-devel-1.1.0-1.fc39.aarch64.rpm 1.1 MB/s | 34 kB 00:00 (21/286): abattis-cantarell-vf-fonts-0.301-10.f 2.3 MB/s | 121 kB 00:00 (22/286): bzip2-devel-1.0.8-16.fc39.aarch64.rpm 9.2 MB/s | 214 kB 00:00 (23/286): cairo-1.18.0-1.fc39.aarch64.rpm 19 MB/s | 692 kB 00:00 (24/286): capnproto-1.0.1-1.fc39.aarch64.rpm 5.8 MB/s | 257 kB 00:00 (25/286): capnproto-devel-1.0.1-1.fc39.aarch64. 7.9 MB/s | 379 kB 00:00 (26/286): capnproto-libs-1.0.1-1.fc39.aarch64.r 31 MB/s | 1.3 MB 00:00 (27/286): cmake-3.27.7-1.fc39.aarch64.rpm 171 MB/s | 7.4 MB 00:00 (28/286): cmake-filesystem-3.27.7-1.fc39.aarch6 2.1 MB/s | 19 kB 00:00 (29/286): dbus-1.14.10-1.fc39.aarch64.rpm 1.3 MB/s | 8.1 kB 00:00 (30/286): cmake-rpm-macros-3.27.7-1.fc39.noarch 2.4 MB/s | 18 kB 00:00 (31/286): dbus-common-1.14.10-1.fc39.noarch.rpm 2.4 MB/s | 15 kB 00:00 (32/286): default-fonts-core-sans-4.0-9.fc39.no 4.0 MB/s | 32 kB 00:00 (33/286): fonts-filesystem-2.0.5-12.fc39.noarch 1.4 MB/s | 8.2 kB 00:00 (34/286): freetype-2.13.1-2.fc39.aarch64.rpm 58 MB/s | 406 kB 00:00 (35/286): freetype-devel-2.13.1-2.fc39.aarch64. 118 MB/s | 950 kB 00:00 (36/286): gc-8.2.2-4.fc39.aarch64.rpm 18 MB/s | 110 kB 00:00 (37/286): eigen3-devel-3.4.0-12.fc39.noarch.rpm 35 MB/s | 1.2 MB 00:00 (38/286): cmake-data-3.27.7-1.fc39.noarch.rpm 33 MB/s | 2.2 MB 00:00 (39/286): gettext-0.22-2.fc39.aarch64.rpm 115 MB/s | 1.1 MB 00:00 (40/286): gettext-envsubst-0.22-2.fc39.aarch64. 5.7 MB/s | 36 kB 00:00 (41/286): gettext-libs-0.22-2.fc39.aarch64.rpm 46 MB/s | 310 kB 00:00 (42/286): gettext-runtime-0.22-2.fc39.aarch64.r 19 MB/s | 119 kB 00:00 (43/286): gflags-2.2.2-12.fc39.aarch64.rpm 12 MB/s | 86 kB 00:00 (44/286): graphite2-1.3.14-12.fc39.aarch64.rpm 15 MB/s | 93 kB 00:00 (45/286): graphite2-devel-1.3.14-12.fc39.aarch6 3.3 MB/s | 20 kB 00:00 (46/286): harfbuzz-8.2.1-2.fc39.aarch64.rpm 100 MB/s | 934 kB 00:00 (47/286): harfbuzz-devel-8.2.1-2.fc39.aarch64.r 64 MB/s | 449 kB 00:00 (48/286): guile22-2.2.7-9.fc39.aarch64.rpm 255 MB/s | 6.5 MB 00:00 (49/286): harfbuzz-icu-8.2.1-2.fc39.aarch64.rpm 2.2 MB/s | 17 kB 00:00 (50/286): gpgme-1.20.0-5.fc39.aarch64.rpm 6.0 MB/s | 209 kB 00:00 (51/286): json-c-0.17-1.fc39.aarch64.rpm 6.2 MB/s | 44 kB 00:00 (52/286): jsoncpp-1.9.5-5.fc39.aarch64.rpm 12 MB/s | 91 kB 00:00 (53/286): kmod-libs-30-6.fc39.aarch64.rpm 9.7 MB/s | 67 kB 00:00 (54/286): libXau-1.0.11-3.fc39.aarch64.rpm 5.3 MB/s | 32 kB 00:00 (55/286): ima-evm-utils-1.5-2.fc39.aarch64.rpm 3.7 MB/s | 63 kB 00:00 (56/286): libXau-devel-1.0.11-3.fc39.aarch64.rp 2.2 MB/s | 14 kB 00:00 (57/286): libXext-1.3.5-3.fc39.aarch64.rpm 6.4 MB/s | 39 kB 00:00 (58/286): libXft-2.3.8-3.fc39.aarch64.rpm 12 MB/s | 71 kB 00:00 (59/286): libXft-devel-2.3.8-3.fc39.aarch64.rpm 7.6 MB/s | 50 kB 00:00 (60/286): libXrender-0.9.11-3.fc39.aarch64.rpm 4.3 MB/s | 27 kB 00:00 (61/286): libXrender-devel-0.9.11-3.fc39.aarch6 3.1 MB/s | 19 kB 00:00 (62/286): libb2-0.98.1-9.fc39.aarch64.rpm 3.9 MB/s | 24 kB 00:00 (63/286): libffi-devel-3.4.4-4.fc39.aarch64.rpm 4.5 MB/s | 28 kB 00:00 (64/286): libassuan-2.5.6-2.fc39.aarch64.rpm 4.8 MB/s | 66 kB 00:00 (65/286): libcbor-0.10.2-2.fc39.aarch64.rpm 3.1 MB/s | 57 kB 00:00 (66/286): libftdi-1.5-10.fc39.aarch64.rpm 6.6 MB/s | 44 kB 00:00 (67/286): libfido2-1.13.0-3.fc39.aarch64.rpm 6.0 MB/s | 96 kB 00:00 (68/286): libfsverity-1.4-10.fc39.aarch64.rpm 1.2 MB/s | 19 kB 00:00 (69/286): libgcrypt-1.10.2-2.fc39.aarch64.rpm 52 MB/s | 451 kB 00:00 (70/286): libicu-devel-73.2-2.fc39.aarch64.rpm 102 MB/s | 925 kB 00:00 (71/286): libgpg-error-1.47-2.fc39.aarch64.rpm 11 MB/s | 230 kB 00:00 (72/286): libicu-73.2-2.fc39.aarch64.rpm 290 MB/s | 10 MB 00:00 (73/286): libksba-1.6.4-2.fc39.aarch64.rpm 8.3 MB/s | 157 kB 00:00 (74/286): libmetalink-0.1.3-32.fc39.aarch64.rpm 1.9 MB/s | 32 kB 00:00 (75/286): libmpc-1.3.1-3.fc39.aarch64.rpm 12 MB/s | 72 kB 00:00 (76/286): libpng-1.6.37-15.fc39.aarch64.rpm 18 MB/s | 115 kB 00:00 (77/286): libmodulemd-2.15.0-5.fc39.aarch64.rpm 27 MB/s | 210 kB 00:00 (78/286): libpng-devel-1.6.37-15.fc39.aarch64.r 45 MB/s | 291 kB 00:00 (79/286): libsepol-devel-3.5-2.fc39.aarch64.rpm 8.1 MB/s | 49 kB 00:00 (80/286): libxcb-1.13.1-12.fc39.aarch64.rpm 37 MB/s | 238 kB 00:00 (81/286): libxcb-devel-1.13.1-12.fc39.aarch64.r 158 MB/s | 1.4 MB 00:00 (82/286): libxcrypt-devel-4.4.36-2.fc39.aarch64 5.0 MB/s | 30 kB 00:00 (83/286): libxml2-devel-2.10.4-3.fc39.aarch64.r 74 MB/s | 527 kB 00:00 (84/286): libyaml-0.2.5-12.fc39.aarch64.rpm 9.1 MB/s | 59 kB 00:00 (85/286): libselinux-devel-3.5-5.fc39.aarch64.r 5.1 MB/s | 151 kB 00:00 (86/286): make-4.4.1-2.fc39.aarch64.rpm 80 MB/s | 585 kB 00:00 (87/286): mpdecimal-2.5.1-7.fc39.aarch64.rpm 15 MB/s | 90 kB 00:00 (88/286): nettle-3.9.1-2.fc39.aarch64.rpm 62 MB/s | 434 kB 00:00 (89/286): npth-1.6-14.fc39.aarch64.rpm 3.7 MB/s | 25 kB 00:00 (90/286): openblas-0.3.21-6.fc39.aarch64.rpm 5.5 MB/s | 35 kB 00:00 (91/286): pcre2-utf16-10.42-1.fc39.2.aarch64.rp 30 MB/s | 199 kB 00:00 (92/286): pcre2-utf32-10.42-1.fc39.2.aarch64.rp 27 MB/s | 187 kB 00:00 (93/286): openblas-openmp-0.3.21-6.fc39.aarch64 195 MB/s | 3.7 MB 00:00 (94/286): perl-Carp-1.54-500.fc39.noarch.rpm 4.8 MB/s | 29 kB 00:00 (95/286): perl-Data-Dumper-2.188-501.fc39.aarch 9.1 MB/s | 55 kB 00:00 (96/286): perl-Digest-1.20-500.fc39.noarch.rpm 4.1 MB/s | 25 kB 00:00 (97/286): perl-Digest-MD5-2.58-500.fc39.aarch64 5.9 MB/s | 36 kB 00:00 (98/286): perl-Encode-3.19-500.fc39.aarch64.rpm 179 MB/s | 1.7 MB 00:00 (99/286): perl-Error-0.17029-13.fc39.noarch.rpm 6.7 MB/s | 40 kB 00:00 (100/286): perl-Exporter-5.77-500.fc39.noarch.r 5.1 MB/s | 31 kB 00:00 (101/286): perl-File-Path-2.18-500.fc39.noarch. 5.8 MB/s | 35 kB 00:00 (102/286): perl-File-Temp-0.231.100-500.fc39.no 9.7 MB/s | 58 kB 00:00 (103/286): perl-Getopt-Long-2.54-500.fc39.noarc 9.8 MB/s | 60 kB 00:00 (104/286): perl-HTTP-Tiny-0.088-3.fc39.noarch.r 9.3 MB/s | 56 kB 00:00 (105/286): perl-IO-Socket-IP-0.42-1.fc39.noarch 6.7 MB/s | 42 kB 00:00 (106/286): perl-IO-Socket-SSL-2.083-3.fc39.noar 36 MB/s | 225 kB 00:00 (107/286): perl-MIME-Base64-3.16-500.fc39.aarch 4.9 MB/s | 30 kB 00:00 (108/286): perl-Mozilla-CA-20230801-1.fc39.noar 2.2 MB/s | 13 kB 00:00 (109/286): perl-Net-SSLeay-1.92-10.fc39.aarch64 53 MB/s | 356 kB 00:00 (110/286): perl-PathTools-3.89-500.fc39.aarch64 14 MB/s | 88 kB 00:00 (111/286): perl-Pod-Escapes-1.07-500.fc39.noarc 3.3 MB/s | 20 kB 00:00 (112/286): perl-Pod-Perldoc-3.28.01-501.fc39.no 14 MB/s | 86 kB 00:00 (113/286): perl-Pod-Simple-3.45-4.fc39.noarch.r 34 MB/s | 218 kB 00:00 (114/286): pcre2-devel-10.42-1.fc39.2.aarch64.r 6.0 MB/s | 505 kB 00:00 (115/286): perl-Pod-Usage-2.03-500.fc39.noarch. 6.5 MB/s | 39 kB 00:00 (116/286): perl-Scalar-List-Utils-1.63-500.fc39 12 MB/s | 71 kB 00:00 (117/286): perl-Storable-3.32-500.fc39.aarch64. 16 MB/s | 97 kB 00:00 (118/286): perl-Term-ANSIColor-5.01-501.fc39.no 7.7 MB/s | 47 kB 00:00 (119/286): perl-Socket-2.037-3.fc39.aarch64.rpm 4.7 MB/s | 56 kB 00:00 (120/286): perl-Term-Cap-1.18-500.fc39.noarch.r 3.7 MB/s | 22 kB 00:00 (121/286): perl-TermReadKey-2.38-18.fc39.aarch6 5.5 MB/s | 35 kB 00:00 (122/286): perl-Text-ParseWords-3.31-500.fc39.n 2.2 MB/s | 16 kB 00:00 (123/286): perl-Text-Tabs+Wrap-2023.0511-3.fc39 3.7 MB/s | 22 kB 00:00 (124/286): perl-Time-Local-1.350-3.fc39.noarch. 5.7 MB/s | 34 kB 00:00 (125/286): perl-constant-1.33-501.fc39.noarch.r 3.7 MB/s | 22 kB 00:00 (126/286): perl-libnet-3.15-501.fc39.noarch.rpm 21 MB/s | 129 kB 00:00 (127/286): perl-parent-0.241-500.fc39.noarch.rp 2.4 MB/s | 14 kB 00:00 (128/286): perl-podlators-5.01-500.fc39.noarch. 20 MB/s | 125 kB 00:00 (129/286): pixman-0.42.2-2.fc39.aarch64.rpm 34 MB/s | 216 kB 00:00 (130/286): perl-URI-5.21-1.fc39.noarch.rpm 5.3 MB/s | 125 kB 00:00 (131/286): python3-intervaltree-3.1.0-10.fc39.n 3.6 MB/s | 60 kB 00:00 (132/286): python3-arpeggio-1.10.2-9.fc39.noarc 4.5 MB/s | 152 kB 00:00 (133/286): python3-packaging-23.1-4.fc39.noarch 19 MB/s | 114 kB 00:00 (134/286): python3-pyyaml-6.0.1-11.fc39.aarch64 30 MB/s | 223 kB 00:00 (135/286): pybind11-devel-2.11.1-1.fc39.aarch64 3.0 MB/s | 176 kB 00:00 (136/286): python3-rpm-generators-14-7.fc39.noa 4.4 MB/s | 30 kB 00:00 (137/286): python3-sortedcontainers-2.4.0-13.fc 7.7 MB/s | 60 kB 00:00 (138/286): rhash-1.4.3-3.fc39.aarch64.rpm 23 MB/s | 192 kB 00:00 (139/286): tbb-2020.3-20.fc39.aarch64.rpm 20 MB/s | 140 kB 00:00 (140/286): tbb-devel-2020.3-20.fc39.aarch64.rpm 6.4 MB/s | 335 kB 00:00 (141/286): tcl-8.6.12-5.fc39.aarch64.rpm 22 MB/s | 1.1 MB 00:00 (142/286): tcl-devel-8.6.12-5.fc39.aarch64.rpm 5.0 MB/s | 169 kB 00:00 (143/286): tk-8.6.12-5.fc39.aarch64.rpm 36 MB/s | 1.6 MB 00:00 (144/286): xml-common-0.6.3-61.fc39.noarch.rpm 5.1 MB/s | 31 kB 00:00 (145/286): xorg-x11-proto-devel-2023.2-2.fc39.n 45 MB/s | 298 kB 00:00 (146/286): tk-devel-8.6.12-5.fc39.aarch64.rpm 15 MB/s | 537 kB 00:00 (147/286): xz-devel-5.4.4-1.fc39.aarch64.rpm 9.9 MB/s | 66 kB 00:00 (148/286): zlib-devel-1.2.13-4.fc39.aarch64.rpm 7.4 MB/s | 45 kB 00:00 (149/286): yaml-cpp-0.7.0-4.fc39.aarch64.rpm 6.4 MB/s | 118 kB 00:00 (150/286): annobin-docs-12.60-1.fc39.noarch.rpm 3.2 MB/s | 88 kB 00:00 (151/286): boost-1.81.0-11.fc39.aarch64.rpm 2.3 MB/s | 9.6 kB 00:00 (152/286): boost-atomic-1.81.0-11.fc39.aarch64. 1.7 MB/s | 15 kB 00:00 (153/286): boost-chrono-1.81.0-11.fc39.aarch64. 6.9 MB/s | 21 kB 00:00 (154/286): boost-container-1.81.0-11.fc39.aarch 11 MB/s | 35 kB 00:00 (155/286): boost-context-1.81.0-11.fc39.aarch64 4.3 MB/s | 13 kB 00:00 (156/286): boost-contract-1.81.0-11.fc39.aarch6 12 MB/s | 39 kB 00:00 (157/286): boost-coroutine-1.81.0-11.fc39.aarch 5.3 MB/s | 16 kB 00:00 (158/286): boost-date-time-1.81.0-11.fc39.aarch 3.7 MB/s | 11 kB 00:00 (159/286): annobin-plugin-gcc-12.60-1.fc39.aarc 5.0 MB/s | 964 kB 00:00 (160/286): boost-fiber-1.81.0-11.fc39.aarch64.r 2.3 MB/s | 37 kB 00:00 (161/286): boost-devel-1.81.0-11.fc39.aarch64.r 81 MB/s | 14 MB 00:00 (162/286): boost-filesystem-1.81.0-11.fc39.aarc 4.3 MB/s | 59 kB 00:00 (163/286): boost-graph-1.81.0-11.fc39.aarch64.r 34 MB/s | 138 kB 00:00 (164/286): boost-iostreams-1.81.0-11.fc39.aarch 8.4 MB/s | 36 kB 00:00 (165/286): boost-json-1.81.0-11.fc39.aarch64.rp 28 MB/s | 102 kB 00:00 (166/286): boost-locale-1.81.0-11.fc39.aarch64. 37 MB/s | 201 kB 00:00 (167/286): boost-log-1.81.0-11.fc39.aarch64.rpm 75 MB/s | 453 kB 00:00 (168/286): boost-math-1.81.0-11.fc39.aarch64.rp 42 MB/s | 254 kB 00:00 (169/286): boost-nowide-1.81.0-11.fc39.aarch64. 5.7 MB/s | 17 kB 00:00 (170/286): boost-numpy3-1.81.0-11.fc39.aarch64. 8.4 MB/s | 24 kB 00:00 (171/286): boost-program-options-1.81.0-11.fc39 29 MB/s | 100 kB 00:00 (172/286): boost-python3-1.81.0-11.fc39.aarch64 25 MB/s | 85 kB 00:00 (173/286): boost-random-1.81.0-11.fc39.aarch64. 6.5 MB/s | 20 kB 00:00 (174/286): boost-regex-1.81.0-11.fc39.aarch64.r 29 MB/s | 103 kB 00:00 (175/286): boost-serialization-1.81.0-11.fc39.a 30 MB/s | 122 kB 00:00 (176/286): boost-stacktrace-1.81.0-11.fc39.aarc 8.3 MB/s | 25 kB 00:00 (177/286): boost-system-1.81.0-11.fc39.aarch64. 4.1 MB/s | 11 kB 00:00 (178/286): boost-test-1.81.0-11.fc39.aarch64.rp 49 MB/s | 223 kB 00:00 (179/286): boost-thread-1.81.0-11.fc39.aarch64. 12 MB/s | 52 kB 00:00 (180/286): boost-timer-1.81.0-11.fc39.aarch64.r 6.4 MB/s | 19 kB 00:00 (181/286): boost-type_erasure-1.81.0-11.fc39.aa 8.6 MB/s | 27 kB 00:00 (182/286): boost-wave-1.81.0-11.fc39.aarch64.rp 47 MB/s | 216 kB 00:00 (183/286): cpp-13.3.1-3.fc39.aarch64.rpm 134 MB/s | 9.6 MB 00:00 (184/286): dbus-broker-36-2.fc39.aarch64.rpm 2.5 MB/s | 173 kB 00:00 (185/286): dnf-4.21.1-1.fc39.noarch.rpm 21 MB/s | 475 kB 00:00 (186/286): dnf-data-4.21.1-1.fc39.noarch.rpm 1.7 MB/s | 39 kB 00:00 (187/286): emacs-filesystem-29.4-2.fc39.noarch. 2.7 MB/s | 7.3 kB 00:00 (188/286): expat-2.6.3-1.fc39.aarch64.rpm 38 MB/s | 112 kB 00:00 (189/286): flexiblas-3.4.4-1.fc39.aarch64.rpm 8.5 MB/s | 25 kB 00:00 (190/286): flexiblas-netlib-3.4.4-1.fc39.aarch6 91 MB/s | 2.6 MB 00:00 (191/286): flexiblas-openblas-openmp-3.4.4-1.fc 611 kB/s | 16 kB 00:00 (192/286): fontconfig-2.14.2-6.fc39.aarch64.rpm 67 MB/s | 302 kB 00:00 (193/286): fontconfig-devel-2.14.2-6.fc39.aarch 27 MB/s | 164 kB 00:00 (194/286): gcc-c++-13.3.1-3.fc39.aarch64.rpm 68 MB/s | 12 MB 00:00 (195/286): gcc-plugin-annobin-13.3.1-3.fc39.aar 8.2 MB/s | 58 kB 00:00 (196/286): git-2.46.2-1.fc39.aarch64.rpm 2.4 MB/s | 52 kB 00:00 (197/286): gcc-13.3.1-3.fc39.aarch64.rpm 132 MB/s | 31 MB 00:00 (198/286): git-core-2.46.2-1.fc39.aarch64.rpm 116 MB/s | 4.9 MB 00:00 (199/286): git-core-doc-2.46.2-1.fc39.noarch.rp 156 MB/s | 3.0 MB 00:00 (200/286): glib2-2.78.6-1.fc39.aarch64.rpm 64 MB/s | 2.8 MB 00:00 (201/286): glib2-devel-2.78.6-1.fc39.aarch64.rp 15 MB/s | 595 kB 00:00 (202/286): python3-numpy-1.24.4-2.fc39.aarch64. 8.1 MB/s | 7.2 MB 00:00 (203/286): gnupg2-2.4.4-1.fc39.aarch64.rpm 64 MB/s | 2.7 MB 00:00 (204/286): gnutls-3.8.6-1.fc39.aarch64.rpm 25 MB/s | 1.1 MB 00:00 (205/286): google-noto-fonts-common-20240101-1. 693 kB/s | 17 kB 00:00 (206/286): google-noto-sans-vf-fonts-20240101-1 98 MB/s | 593 kB 00:00 (207/286): groff-base-1.23.0-3.fc39.aarch64.rpm 140 MB/s | 1.1 MB 00:00 (208/286): kernel-headers-6.10.3-200.fc39.aarch 49 MB/s | 1.6 MB 00:00 (209/286): less-633-4.fc39.aarch64.rpm 5.6 MB/s | 176 kB 00:00 (210/286): libX11-1.8.9-1.fc39.aarch64.rpm 22 MB/s | 639 kB 00:00 (211/286): libX11-common-1.8.9-1.fc39.noarch.rp 45 MB/s | 176 kB 00:00 (212/286): libX11-devel-1.8.9-1.fc39.aarch64.rp 104 MB/s | 1.0 MB 00:00 (213/286): libX11-xcb-1.8.9-1.fc39.aarch64.rpm 1.5 MB/s | 12 kB 00:00 (214/286): libasan-13.3.1-3.fc39.aarch64.rpm 49 MB/s | 459 kB 00:00 (215/286): libatomic-13.3.1-3.fc39.aarch64.rpm 10 MB/s | 47 kB 00:00 (216/286): libblkid-devel-2.39.4-1.fc39.aarch64 3.6 MB/s | 18 kB 00:00 (217/286): libcomps-0.1.20-1.fc39.aarch64.rpm 26 MB/s | 75 kB 00:00 (218/286): libdnf-0.73.3-1.fc39.aarch64.rpm 115 MB/s | 629 kB 00:00 (219/286): libedit-3.1-53.20240808cvs.fc39.aarc 19 MB/s | 107 kB 00:00 (220/286): libgfortran-13.3.1-3.fc39.aarch64.rp 54 MB/s | 443 kB 00:00 (221/286): libmount-devel-2.39.4-1.fc39.aarch64 4.2 MB/s | 18 kB 00:00 (222/286): librepo-1.18.1-1.fc39.aarch64.rpm 22 MB/s | 97 kB 00:00 (223/286): libseccomp-2.5.5-1.fc39.aarch64.rpm 26 MB/s | 71 kB 00:00 (224/286): libsolv-0.7.30-1.fc39.aarch64.rpm 109 MB/s | 405 kB 00:00 (225/286): libstdc++-devel-13.3.1-3.fc39.aarch6 52 MB/s | 2.6 MB 00:00 (226/286): libubsan-13.3.1-3.fc39.aarch64.rpm 4.1 MB/s | 214 kB 00:00 (227/286): libusb1-1.0.27-2.fc39.aarch64.rpm 1.5 MB/s | 76 kB 00:00 (228/286): libuv-1.48.0-1.fc39.aarch64.rpm 51 MB/s | 249 kB 00:00 (229/286): ncurses-6.4-7.20230520.fc39.1.aarch6 65 MB/s | 414 kB 00:00 (230/286): openssh-9.3p1-11.fc39.aarch64.rpm 56 MB/s | 431 kB 00:00 (231/286): openssh-clients-9.3p1-11.fc39.aarch6 116 MB/s | 731 kB 00:00 (232/286): perl-AutoLoader-5.74-502.fc39.noarch 5.1 MB/s | 21 kB 00:00 (233/286): perl-B-1.88-502.fc39.aarch64.rpm 43 MB/s | 178 kB 00:00 (234/286): perl-Class-Struct-0.68-502.fc39.noar 7.5 MB/s | 22 kB 00:00 (235/286): perl-DynaLoader-1.54-502.fc39.aarch6 7.7 MB/s | 26 kB 00:00 (236/286): perl-Errno-1.37-502.fc39.aarch64.rpm 5.3 MB/s | 15 kB 00:00 (237/286): perl-Fcntl-1.15-502.fc39.aarch64.rpm 7.2 MB/s | 21 kB 00:00 (238/286): perl-File-Basename-2.86-502.fc39.noa 6.1 MB/s | 17 kB 00:00 (239/286): perl-File-Find-1.43-502.fc39.noarch. 8.8 MB/s | 25 kB 00:00 (240/286): perl-File-stat-1.13-502.fc39.noarch. 6.0 MB/s | 17 kB 00:00 (241/286): perl-FileHandle-2.05-502.fc39.noarch 5.5 MB/s | 16 kB 00:00 (242/286): perl-Getopt-Std-1.13-502.fc39.noarch 5.5 MB/s | 16 kB 00:00 (243/286): perl-Git-2.46.2-1.fc39.noarch.rpm 13 MB/s | 38 kB 00:00 (244/286): perl-IO-1.52-502.fc39.aarch64.rpm 23 MB/s | 83 kB 00:00 (245/286): perl-IPC-Open3-1.22-502.fc39.noarch. 6.2 MB/s | 22 kB 00:00 (246/286): perl-POSIX-2.13-502.fc39.aarch64.rpm 27 MB/s | 98 kB 00:00 (247/286): perl-SelectSaver-1.02-502.fc39.noarc 4.1 MB/s | 12 kB 00:00 (248/286): perl-Symbol-1.09-502.fc39.noarch.rpm 4.9 MB/s | 14 kB 00:00 (249/286): perl-base-2.27-502.fc39.noarch.rpm 5.7 MB/s | 16 kB 00:00 (250/286): perl-if-0.61.000-502.fc39.noarch.rpm 4.9 MB/s | 14 kB 00:00 (251/286): perl-interpreter-5.38.2-502.fc39.aar 22 MB/s | 72 kB 00:00 (252/286): perl-lib-0.65-502.fc39.aarch64.rpm 5.0 MB/s | 15 kB 00:00 (253/286): perl-libs-5.38.2-502.fc39.aarch64.rp 63 MB/s | 2.3 MB 00:00 (254/286): perl-locale-1.10-502.fc39.noarch.rpm 382 kB/s | 14 kB 00:00 (255/286): perl-mro-1.28-502.fc39.aarch64.rpm 827 kB/s | 29 kB 00:00 (256/286): perl-overload-1.37-502.fc39.noarch.r 13 MB/s | 46 kB 00:00 (257/286): perl-overloading-0.02-502.fc39.noarc 3.7 MB/s | 13 kB 00:00 (258/286): perl-vars-1.05-502.fc39.noarch.rpm 3.3 MB/s | 13 kB 00:00 (259/286): pyproject-rpm-macros-1.13.0-1.fc39.n 13 MB/s | 42 kB 00:00 (260/286): pypy3.10-7.3.15-2.3.10.fc39.aarch64. 1.1 MB/s | 13 kB 00:00 (261/286): python-pip-wheel-23.2.1-2.fc39.noarc 9.4 MB/s | 1.5 MB 00:00 (262/286): python-rpm-macros-3.12-8.fc39.noarch 118 kB/s | 18 kB 00:00 (263/286): pypy3.10-libs-7.3.15-2.3.10.fc39.aar 92 MB/s | 18 MB 00:00 (264/286): python-setuptools-wheel-67.7.2-8.fc3 13 MB/s | 660 kB 00:00 (265/286): python3-3.12.6-1.fc39.aarch64.rpm 569 kB/s | 28 kB 00:00 (266/286): python3-devel-3.12.6-1.fc39.aarch64. 15 MB/s | 313 kB 00:00 (267/286): python3-dnf-4.21.1-1.fc39.noarch.rpm 78 MB/s | 620 kB 00:00 (268/286): python3-hawkey-0.73.3-1.fc39.aarch64 12 MB/s | 99 kB 00:00 (269/286): python3-libcomps-0.1.20-1.fc39.aarch 12 MB/s | 48 kB 00:00 (270/286): python3-libdnf-0.73.3-1.fc39.aarch64 125 MB/s | 807 kB 00:00 (271/286): python3-libs-3.12.6-1.fc39.aarch64.r 218 MB/s | 9.1 MB 00:00 (272/286): python3-rpm-4.19.1.1-1.fc39.aarch64. 1.6 MB/s | 68 kB 00:00 (273/286): python3-rpm-macros-3.12-8.fc39.noarc 336 kB/s | 12 kB 00:00 (274/286): python3-setuptools-67.7.2-8.fc39.noa 158 MB/s | 1.5 MB 00:00 (275/286): python3-simplejson-3.19.2-1.fc39.aar 4.7 MB/s | 162 kB 00:00 (276/286): rpm-sign-libs-4.19.1.1-1.fc39.aarch6 764 kB/s | 26 kB 00:00 (277/286): sysprof-capture-devel-45.1-1.fc39.aa 2.4 MB/s | 61 kB 00:00 (278/286): systemd-254.18-1.fc39.aarch64.rpm 189 MB/s | 4.6 MB 00:00 (279/286): systemd-pam-254.18-1.fc39.aarch64.rp 13 MB/s | 349 kB 00:00 (280/286): systemd-rpm-macros-254.18-1.fc39.noa 1.0 MB/s | 25 kB 00:00 (281/286): tpm2-tss-4.0.2-1.fc39.aarch64.rpm 92 MB/s | 377 kB 00:00 (282/286): tzdata-2024a-2.fc39.noarch.rpm 118 MB/s | 715 kB 00:00 (283/286): vim-filesystem-9.1.719-1.fc39.noarch 2.6 MB/s | 17 kB 00:00 (284/286): wget-1.21.4-1.fc39.aarch64.rpm 106 MB/s | 807 kB 00:00 (285/286): yum-4.21.1-1.fc39.noarch.rpm 7.2 MB/s | 37 kB 00:00 (286/286): zchunk-libs-1.5.1-1.fc39.aarch64.rpm 11 MB/s | 52 kB 00:00 -------------------------------------------------------------------------------- Total 103 MB/s | 245 MB 00:02 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : boost-system-1.81.0-11.fc39.aarch64 1/286 Installing : boost-thread-1.81.0-11.fc39.aarch64 2/286 Installing : expat-2.6.3-1.fc39.aarch64 3/286 Installing : cmake-filesystem-3.27.7-1.fc39.aarch64 4/286 Installing : boost-chrono-1.81.0-11.fc39.aarch64 5/286 Installing : libicu-73.2-2.fc39.aarch64 6/286 Installing : zlib-devel-1.2.13-4.fc39.aarch64 7/286 Installing : libgpg-error-1.47-2.fc39.aarch64 8/286 Installing : xorg-x11-proto-devel-2023.2-2.fc39.noarch 9/286 Installing : boost-regex-1.81.0-11.fc39.aarch64 10/286 Installing : python-rpm-macros-3.12-8.fc39.noarch 11/286 Installing : boost-context-1.81.0-11.fc39.aarch64 12/286 Installing : boost-atomic-1.81.0-11.fc39.aarch64 13/286 Installing : boost-filesystem-1.81.0-11.fc39.aarch64 14/286 Installing : tcl-1:8.6.12-5.fc39.aarch64 15/286 Installing : libpng-2:1.6.37-15.fc39.aarch64 16/286 Installing : libmpc-1.3.1-3.fc39.aarch64 17/286 Installing : fonts-filesystem-1:2.0.5-12.fc39.noarch 18/286 Installing : prjtrellis-data-1.4-20240129.2.git2dab0095.fc39. 19/286 Installing : python3-rpm-macros-3.12-8.fc39.noarch 20/286 Installing : libassuan-2.5.6-2.fc39.aarch64 21/286 Installing : libicu-devel-73.2-2.fc39.aarch64 22/286 Installing : zchunk-libs-1.5.1-1.fc39.aarch64 23/286 Installing : libsolv-0.7.30-1.fc39.aarch64 24/286 Installing : python-pip-wheel-23.2.1-2.fc39.noarch 25/286 Installing : libgfortran-13.3.1-3.fc39.aarch64 26/286 Installing : emacs-filesystem-1:29.4-2.fc39.noarch 27/286 Installing : boost-program-options-1.81.0-11.fc39.aarch64 28/286 Installing : prjtrellis-1.4-20240129.2.git2dab0095.fc39.aarch 29/286 Installing : boost-date-time-1.81.0-11.fc39.aarch64 30/286 Installing : boost-container-1.81.0-11.fc39.aarch64 31/286 Installing : yaml-cpp-0.7.0-4.fc39.aarch64 32/286 Installing : nettle-3.9.1-2.fc39.aarch64 33/286 Installing : gnutls-3.8.6-1.fc39.aarch64 34/286 Installing : glib2-2.78.6-1.fc39.aarch64 35/286 Installing : libyaml-0.2.5-12.fc39.aarch64 36/286 Installing : libmodulemd-2.15.0-5.fc39.aarch64 37/286 Installing : libXau-1.0.11-3.fc39.aarch64 38/286 Installing : libxcb-1.13.1-12.fc39.aarch64 39/286 Installing : json-c-0.17-1.fc39.aarch64 40/286 Running scriptlet: tpm2-tss-4.0.2-1.fc39.aarch64 41/286 Installing : tpm2-tss-4.0.2-1.fc39.aarch64 41/286 Installing : graphite2-1.3.14-12.fc39.aarch64 42/286 Installing : gettext-libs-0.22-2.fc39.aarch64 43/286 Installing : capnproto-libs-1.0.1-1.fc39.aarch64 44/286 Installing : prjxray-data-0.0.1-20240928.0.gitf2d21573.fc39.n 45/286 Installing : graphite2-devel-1.3.14-12.fc39.aarch64 46/286 Installing : ima-evm-utils-1.5-2.fc39.aarch64 47/286 Installing : libXau-devel-1.0.11-3.fc39.aarch64 48/286 Installing : libxcb-devel-1.13.1-12.fc39.aarch64 49/286 Installing : librepo-1.18.1-1.fc39.aarch64 50/286 Installing : libdnf-0.73.3-1.fc39.aarch64 51/286 Installing : boost-json-1.81.0-11.fc39.aarch64 52/286 Installing : boost-wave-1.81.0-11.fc39.aarch64 53/286 Installing : pyproject-rpm-macros-1.13.0-1.fc39.noarch 54/286 Installing : abattis-cantarell-vf-fonts-0.301-10.fc39.noarch 55/286 Installing : cpp-13.3.1-3.fc39.aarch64 56/286 Installing : libpng-devel-2:1.6.37-15.fc39.aarch64 57/286 Installing : tcl-devel-1:8.6.12-5.fc39.aarch64 58/286 Installing : boost-fiber-1.81.0-11.fc39.aarch64 59/286 Installing : boost-log-1.81.0-11.fc39.aarch64 60/286 Installing : boost-coroutine-1.81.0-11.fc39.aarch64 61/286 Installing : boost-graph-1.81.0-11.fc39.aarch64 62/286 Installing : libgcrypt-1.10.2-2.fc39.aarch64 63/286 Installing : libksba-1.6.4-2.fc39.aarch64 64/286 Installing : boost-locale-1.81.0-11.fc39.aarch64 65/286 Installing : boost-timer-1.81.0-11.fc39.aarch64 66/286 Installing : boost-type_erasure-1.81.0-11.fc39.aarch64 67/286 Installing : libmetalink-0.1.3-32.fc39.aarch64 68/286 Installing : libcomps-0.1.20-1.fc39.aarch64 69/286 Installing : vim-filesystem-2:9.1.719-1.fc39.noarch 70/286 Installing : tzdata-2024a-2.fc39.noarch 71/286 Installing : sysprof-capture-devel-45.1-1.fc39.aarch64 72/286 Installing : python-setuptools-wheel-67.7.2-8.fc39.noarch 73/286 Installing : openssh-9.3p1-11.fc39.aarch64 74/286 Installing : ncurses-6.4-7.20230520.fc39.1.aarch64 75/286 Installing : libuv-1:1.48.0-1.fc39.aarch64 76/286 Installing : libusb1-1.0.27-2.fc39.aarch64 77/286 Installing : libubsan-13.3.1-3.fc39.aarch64 78/286 Installing : libstdc++-devel-13.3.1-3.fc39.aarch64 79/286 Installing : libseccomp-2.5.5-1.fc39.aarch64 80/286 Installing : libedit-3.1-53.20240808cvs.fc39.aarch64 81/286 Installing : libblkid-devel-2.39.4-1.fc39.aarch64 82/286 Installing : libatomic-13.3.1-3.fc39.aarch64 83/286 Installing : libasan-13.3.1-3.fc39.aarch64 84/286 Installing : libX11-xcb-1.8.9-1.fc39.aarch64 85/286 Installing : libX11-common-1.8.9-1.fc39.noarch 86/286 Installing : libX11-1.8.9-1.fc39.aarch64 87/286 Installing : libXrender-0.9.11-3.fc39.aarch64 88/286 Installing : libX11-devel-1.8.9-1.fc39.aarch64 89/286 Installing : libXrender-devel-0.9.11-3.fc39.aarch64 90/286 Installing : libXext-1.3.5-3.fc39.aarch64 91/286 Installing : less-633-4.fc39.aarch64 92/286 Installing : kernel-headers-6.10.3-200.fc39.aarch64 93/286 Installing : libxcrypt-devel-4.4.36-2.fc39.aarch64 94/286 Installing : glibc-devel-2.38-99.fc39.aarch64 95/286 Running scriptlet: groff-base-1.23.0-3.fc39.aarch64 96/286 Installing : groff-base-1.23.0-3.fc39.aarch64 96/286 Running scriptlet: groff-base-1.23.0-3.fc39.aarch64 96/286 Installing : perl-Digest-1.20-500.fc39.noarch 97/286 Installing : perl-Digest-MD5-2.58-500.fc39.aarch64 98/286 Installing : perl-B-1.88-502.fc39.aarch64 99/286 Installing : perl-FileHandle-2.05-502.fc39.noarch 100/286 Installing : perl-Data-Dumper-2.188-501.fc39.aarch64 101/286 Installing : perl-libnet-3.15-501.fc39.noarch 102/286 Installing : perl-AutoLoader-5.74-502.fc39.noarch 103/286 Installing : perl-base-2.27-502.fc39.noarch 104/286 Installing : perl-URI-5.21-1.fc39.noarch 105/286 Installing : perl-Pod-Escapes-1:1.07-500.fc39.noarch 106/286 Installing : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch 107/286 Installing : perl-Time-Local-2:1.350-3.fc39.noarch 108/286 Installing : perl-Net-SSLeay-1.92-10.fc39.aarch64 109/286 Installing : perl-Mozilla-CA-20230801-1.fc39.noarch 110/286 Installing : perl-File-Path-2.18-500.fc39.noarch 111/286 Installing : perl-if-0.61.000-502.fc39.noarch 112/286 Installing : perl-locale-1.10-502.fc39.noarch 113/286 Installing : perl-IO-Socket-IP-0.42-1.fc39.noarch 114/286 Installing : perl-IO-Socket-SSL-2.083-3.fc39.noarch 115/286 Installing : perl-Term-ANSIColor-5.01-501.fc39.noarch 116/286 Installing : perl-Term-Cap-1.18-500.fc39.noarch 117/286 Installing : perl-Class-Struct-0.68-502.fc39.noarch 118/286 Installing : perl-POSIX-2.13-502.fc39.aarch64 119/286 Installing : perl-File-Temp-1:0.231.100-500.fc39.noarch 120/286 Installing : perl-HTTP-Tiny-0.088-3.fc39.noarch 121/286 Installing : perl-Pod-Simple-1:3.45-4.fc39.noarch 122/286 Installing : perl-IPC-Open3-1.22-502.fc39.noarch 123/286 Installing : perl-Socket-4:2.037-3.fc39.aarch64 124/286 Installing : perl-SelectSaver-1.02-502.fc39.noarch 125/286 Installing : perl-Symbol-1.09-502.fc39.noarch 126/286 Installing : perl-podlators-1:5.01-500.fc39.noarch 127/286 Installing : perl-Pod-Perldoc-3.28.01-501.fc39.noarch 128/286 Installing : perl-File-stat-1.13-502.fc39.noarch 129/286 Installing : perl-Text-ParseWords-3.31-500.fc39.noarch 130/286 Installing : perl-Fcntl-1.15-502.fc39.aarch64 131/286 Installing : perl-mro-1.28-502.fc39.aarch64 132/286 Installing : perl-Pod-Usage-4:2.03-500.fc39.noarch 133/286 Installing : perl-IO-1.52-502.fc39.aarch64 134/286 Installing : perl-overloading-0.02-502.fc39.noarch 135/286 Installing : perl-MIME-Base64-3.16-500.fc39.aarch64 136/286 Installing : perl-Scalar-List-Utils-5:1.63-500.fc39.aarch64 137/286 Installing : perl-constant-1.33-501.fc39.noarch 138/286 Installing : perl-parent-1:0.241-500.fc39.noarch 139/286 Installing : perl-Errno-1.37-502.fc39.aarch64 140/286 Installing : perl-File-Basename-2.86-502.fc39.noarch 141/286 Installing : perl-Getopt-Std-1.13-502.fc39.noarch 142/286 Installing : perl-Storable-1:3.32-500.fc39.aarch64 143/286 Installing : perl-Getopt-Long-1:2.54-500.fc39.noarch 144/286 Installing : perl-overload-1.37-502.fc39.noarch 145/286 Installing : perl-vars-1.05-502.fc39.noarch 146/286 Installing : perl-Exporter-5.77-500.fc39.noarch 147/286 Installing : perl-PathTools-3.89-500.fc39.aarch64 148/286 Installing : perl-Encode-4:3.19-500.fc39.aarch64 149/286 Installing : perl-DynaLoader-1.54-502.fc39.aarch64 150/286 Installing : perl-Carp-1.54-500.fc39.noarch 151/286 Installing : perl-libs-4:5.38.2-502.fc39.aarch64 152/286 Installing : perl-interpreter-4:5.38.2-502.fc39.aarch64 153/286 Installing : prjoxide-data-0-20240105.0.git30712ff9.fc39.noar 154/286 Installing : prjoxide-0-20240105.0.git30712ff9.fc39.aarch64 155/286 Installing : perl-Error-1:0.17029-13.fc39.noarch 156/286 Installing : perl-TermReadKey-2.38-18.fc39.aarch64 157/286 Installing : perl-File-Find-1.43-502.fc39.noarch 158/286 Installing : perl-lib-0.65-502.fc39.aarch64 159/286 Installing : google-noto-fonts-common-20240101-1.fc39.noarch 160/286 Installing : google-noto-sans-vf-fonts-20240101-1.fc39.noarch 161/286 Installing : default-fonts-core-sans-4.0-9.fc39.noarch 162/286 Installing : dnf-data-4.21.1-1.fc39.noarch 163/286 Installing : boost-test-1.81.0-11.fc39.aarch64 164/286 Installing : boost-stacktrace-1.81.0-11.fc39.aarch64 165/286 Installing : boost-serialization-1.81.0-11.fc39.aarch64 166/286 Installing : boost-random-1.81.0-11.fc39.aarch64 167/286 Installing : boost-nowide-1.81.0-11.fc39.aarch64 168/286 Installing : boost-math-1.81.0-11.fc39.aarch64 169/286 Installing : boost-iostreams-1.81.0-11.fc39.aarch64 170/286 Installing : boost-contract-1.81.0-11.fc39.aarch64 171/286 Installing : annobin-docs-12.60-1.fc39.noarch 172/286 Installing : xz-devel-5.4.4-1.fc39.aarch64 173/286 Installing : libxml2-devel-2.10.4-3.fc39.aarch64 174/286 Running scriptlet: xml-common-0.6.3-61.fc39.noarch 175/286 Installing : xml-common-0.6.3-61.fc39.noarch 175/286 Installing : tbb-2020.3-20.fc39.aarch64 176/286 Installing : rhash-1.4.3-3.fc39.aarch64 177/286 Installing : pixman-0.42.2-2.fc39.aarch64 178/286 Installing : cairo-1.18.0-1.fc39.aarch64 179/286 Installing : harfbuzz-8.2.1-2.fc39.aarch64 180/286 Installing : freetype-2.13.1-2.fc39.aarch64 181/286 Installing : fontconfig-2.14.2-6.fc39.aarch64 182/286 Running scriptlet: fontconfig-2.14.2-6.fc39.aarch64 182/286 Installing : libXft-2.3.8-3.fc39.aarch64 183/286 Running scriptlet: tk-1:8.6.12-5.fc39.aarch64 184/286 Installing : tk-1:8.6.12-5.fc39.aarch64 184/286 Installing : pypy3.10-libs-7.3.15-2.3.10.fc39.aarch64 185/286 Installing : harfbuzz-icu-8.2.1-2.fc39.aarch64 186/286 Installing : pcre2-utf32-10.42-1.fc39.2.aarch64 187/286 Installing : pcre2-utf16-10.42-1.fc39.2.aarch64 188/286 Installing : pcre2-devel-10.42-1.fc39.2.aarch64 189/286 Installing : openblas-0.3.21-6.fc39.aarch64 190/286 Installing : openblas-openmp-0.3.21-6.fc39.aarch64 191/286 Installing : flexiblas-netlib-3.4.4-1.fc39.aarch64 192/286 Installing : flexiblas-3.4.4-1.fc39.aarch64 193/286 Installing : flexiblas-openblas-openmp-3.4.4-1.fc39.aarch64 194/286 Installing : npth-1.6-14.fc39.aarch64 195/286 Installing : gnupg2-2.4.4-1.fc39.aarch64 196/286 Installing : gpgme-1.20.0-5.fc39.aarch64 197/286 Installing : mpdecimal-2.5.1-7.fc39.aarch64 198/286 Installing : libsepol-devel-3.5-2.fc39.aarch64 199/286 Installing : libselinux-devel-3.5-5.fc39.aarch64 200/286 Installing : libmount-devel-2.39.4-1.fc39.aarch64 201/286 Installing : libfsverity-1.4-10.fc39.aarch64 202/286 Installing : rpm-sign-libs-4.19.1.1-1.fc39.aarch64 203/286 Installing : libffi-devel-3.4.4-4.fc39.aarch64 204/286 Installing : libcbor-0.10.2-2.fc39.aarch64 205/286 Installing : libfido2-1.13.0-3.fc39.aarch64 206/286 Installing : openssh-clients-9.3p1-11.fc39.aarch64 207/286 Running scriptlet: openssh-clients-9.3p1-11.fc39.aarch64 207/286 Installing : git-core-2.46.2-1.fc39.aarch64 208/286 Installing : git-core-doc-2.46.2-1.fc39.noarch 209/286 Installing : perl-Git-2.46.2-1.fc39.noarch 210/286 Installing : git-2.46.2-1.fc39.aarch64 211/286 Installing : libb2-0.98.1-9.fc39.aarch64 212/286 Installing : python3-3.12.6-1.fc39.aarch64 213/286 Installing : python3-libs-3.12.6-1.fc39.aarch64 214/286 Installing : python3-libdnf-0.73.3-1.fc39.aarch64 215/286 Installing : cmake-rpm-macros-3.27.7-1.fc39.noarch 216/286 Installing : python3-numpy-1:1.24.4-2.fc39.aarch64 217/286 Installing : boost-python3-1.81.0-11.fc39.aarch64 218/286 Installing : boost-numpy3-1.81.0-11.fc39.aarch64 219/286 Installing : boost-1.81.0-11.fc39.aarch64 220/286 Installing : python3-hawkey-0.73.3-1.fc39.aarch64 221/286 Installing : python3-libcomps-0.1.20-1.fc39.aarch64 222/286 Installing : python3-crc-7.0.0-1.fc39.noarch 223/286 Installing : python3-arpeggio-1.10.2-9.fc39.noarch 224/286 Installing : textx-python3-4.0.1-20240808.0.git45bba74b.fc39. 225/286 Installing : fasm-python3-0.0.2-20220725.4.gitffafe821.fc39.n 226/286 Installing : python3-packaging-23.1-4.fc39.noarch 227/286 Installing : python3-rpm-generators-14-7.fc39.noarch 228/286 Installing : python3-pyyaml-6.0.1-11.fc39.aarch64 229/286 Installing : python3-sortedcontainers-2.4.0-13.fc39.noarch 230/286 Installing : python3-intervaltree-3.1.0-10.fc39.noarch 231/286 Installing : python3-rpm-4.19.1.1-1.fc39.aarch64 232/286 Installing : python3-dnf-4.21.1-1.fc39.noarch 233/286 Installing : dnf-4.21.1-1.fc39.noarch 234/286 Running scriptlet: dnf-4.21.1-1.fc39.noarch 234/286 Installing : python3-setuptools-67.7.2-8.fc39.noarch 235/286 Installing : glib2-devel-2.78.6-1.fc39.aarch64 236/286 Installing : python3-simplejson-3.19.2-1.fc39.aarch64 237/286 Installing : kmod-libs-30-6.fc39.aarch64 238/286 Installing : jsoncpp-1.9.5-5.fc39.aarch64 239/286 Installing : gflags-2.2.2-12.fc39.aarch64 240/286 Installing : prjxray-0.0.1-20240928.0.gitf2d21573.fc39.aarch6 241/286 Installing : prjxray-python3-0.0.1-20240928.0.gitf2d21573.fc3 242/286 Installing : gettext-envsubst-0.22-2.fc39.aarch64 243/286 Installing : gettext-runtime-0.22-2.fc39.aarch64 244/286 Installing : gettext-0.22-2.fc39.aarch64 245/286 Installing : gc-8.2.2-4.fc39.aarch64 246/286 Installing : guile22-2.2.7-9.fc39.aarch64 247/286 Installing : make-1:4.4.1-2.fc39.aarch64 248/286 Installing : gcc-13.3.1-3.fc39.aarch64 249/286 Running scriptlet: gcc-13.3.1-3.fc39.aarch64 249/286 Installing : cmake-data-3.27.7-1.fc39.noarch 250/286 Installing : cmake-3.27.7-1.fc39.aarch64 251/286 Installing : dbus-common-1:1.14.10-1.fc39.noarch 252/286 Running scriptlet: dbus-common-1:1.14.10-1.fc39.noarch 252/286 Running scriptlet: dbus-broker-36-2.fc39.aarch64 253/286 Installing : dbus-broker-36-2.fc39.aarch64 253/286 Running scriptlet: dbus-broker-36-2.fc39.aarch64 253/286 Installing : dbus-1:1.14.10-1.fc39.aarch64 254/286 Installing : systemd-pam-254.18-1.fc39.aarch64 255/286 Installing : systemd-254.18-1.fc39.aarch64 256/286 Running scriptlet: systemd-254.18-1.fc39.aarch64 256/286 Creating group 'input' with GID 104. Creating group 'kvm' with GID 36. Creating group 'render' with GID 105. Creating group 'sgx' with GID 106. Creating group 'systemd-journal' with GID 190. Creating group 'systemd-oom' with GID 999. Creating user 'systemd-oom' (systemd Userspace OOM Killer) with UID 999 and GID 999. Installing : libftdi-1.5-10.fc39.aarch64 257/286 Installing : bzip2-devel-1.0.8-16.fc39.aarch64 258/286 Installing : brotli-1.1.0-1.fc39.aarch64 259/286 Installing : brotli-devel-1.1.0-1.fc39.aarch64 260/286 Installing : freetype-devel-2.13.1-2.fc39.aarch64 261/286 Installing : harfbuzz-devel-8.2.1-2.fc39.aarch64 262/286 Installing : fontconfig-devel-2.14.2-6.fc39.aarch64 263/286 Installing : libXft-devel-2.3.8-3.fc39.aarch64 264/286 Installing : json11-1.0.0-10.fc39.aarch64 265/286 Installing : json11-devel-1.0.0-10.fc39.aarch64 266/286 Installing : tk-devel-1:8.6.12-5.fc39.aarch64 267/286 Installing : icestorm-0-20240624.0.git738af822.fc39.aarch64 268/286 Installing : pybind11-devel-2.11.1-1.fc39.aarch64 269/286 Installing : annobin-plugin-gcc-12.60-1.fc39.aarch64 270/286 Running scriptlet: annobin-plugin-gcc-12.60-1.fc39.aarch64 270/286 Installing : gcc-c++-13.3.1-3.fc39.aarch64 271/286 Installing : gcc-plugin-annobin-13.3.1-3.fc39.aarch64 272/286 Running scriptlet: gcc-plugin-annobin-13.3.1-3.fc39.aarch64 272/286 Installing : yum-4.21.1-1.fc39.noarch 273/286 Installing : python3-devel-3.12.6-1.fc39.aarch64 274/286 Installing : prjapicula-0.14-20240912.0.git4f87247f.fc39.noar 275/286 Installing : boost-devel-1.81.0-11.fc39.aarch64 276/286 Installing : prjtrellis-python3-1.4-20240129.2.git2dab0095.fc 277/286 Installing : prjtrellis-devel-1.4-20240129.2.git2dab0095.fc39 278/286 Installing : wget-1.21.4-1.fc39.aarch64 279/286 Installing : pypy3.10-7.3.15-2.3.10.fc39.aarch64 280/286 Installing : tbb-devel-2020.3-20.fc39.aarch64 281/286 Installing : prjoxide-devel-0-20240105.0.git30712ff9.fc39.aar 282/286 Installing : capnproto-1.0.1-1.fc39.aarch64 283/286 Installing : capnproto-devel-1.0.1-1.fc39.aarch64 284/286 Installing : eigen3-devel-3.4.0-12.fc39.noarch 285/286 Installing : systemd-rpm-macros-254.18-1.fc39.noarch 286/286 Running scriptlet: fontconfig-2.14.2-6.fc39.aarch64 286/286 Running scriptlet: systemd-rpm-macros-254.18-1.fc39.noarch 286/286 Verifying : fasm-python3-0.0.2-20220725.4.gitffafe821.fc39.n 1/286 Verifying : icestorm-0-20240624.0.git738af822.fc39.aarch64 2/286 Verifying : json11-1.0.0-10.fc39.aarch64 3/286 Verifying : json11-devel-1.0.0-10.fc39.aarch64 4/286 Verifying : prjapicula-0.14-20240912.0.git4f87247f.fc39.noar 5/286 Verifying : prjoxide-0-20240105.0.git30712ff9.fc39.aarch64 6/286 Verifying : prjoxide-data-0-20240105.0.git30712ff9.fc39.noar 7/286 Verifying : prjoxide-devel-0-20240105.0.git30712ff9.fc39.aar 8/286 Verifying : prjtrellis-1.4-20240129.2.git2dab0095.fc39.aarch 9/286 Verifying : prjtrellis-data-1.4-20240129.2.git2dab0095.fc39. 10/286 Verifying : prjtrellis-devel-1.4-20240129.2.git2dab0095.fc39 11/286 Verifying : prjtrellis-python3-1.4-20240129.2.git2dab0095.fc 12/286 Verifying : prjxray-0.0.1-20240928.0.gitf2d21573.fc39.aarch6 13/286 Verifying : prjxray-data-0.0.1-20240928.0.gitf2d21573.fc39.n 14/286 Verifying : prjxray-python3-0.0.1-20240928.0.gitf2d21573.fc3 15/286 Verifying : python3-crc-7.0.0-1.fc39.noarch 16/286 Verifying : textx-python3-4.0.1-20240808.0.git45bba74b.fc39. 17/286 Verifying : glibc-devel-2.38-99.fc39.aarch64 18/286 Verifying : abattis-cantarell-vf-fonts-0.301-10.fc39.noarch 19/286 Verifying : brotli-1.1.0-1.fc39.aarch64 20/286 Verifying : brotli-devel-1.1.0-1.fc39.aarch64 21/286 Verifying : bzip2-devel-1.0.8-16.fc39.aarch64 22/286 Verifying : cairo-1.18.0-1.fc39.aarch64 23/286 Verifying : capnproto-1.0.1-1.fc39.aarch64 24/286 Verifying : capnproto-devel-1.0.1-1.fc39.aarch64 25/286 Verifying : capnproto-libs-1.0.1-1.fc39.aarch64 26/286 Verifying : cmake-3.27.7-1.fc39.aarch64 27/286 Verifying : cmake-data-3.27.7-1.fc39.noarch 28/286 Verifying : cmake-filesystem-3.27.7-1.fc39.aarch64 29/286 Verifying : cmake-rpm-macros-3.27.7-1.fc39.noarch 30/286 Verifying : dbus-1:1.14.10-1.fc39.aarch64 31/286 Verifying : dbus-common-1:1.14.10-1.fc39.noarch 32/286 Verifying : default-fonts-core-sans-4.0-9.fc39.noarch 33/286 Verifying : eigen3-devel-3.4.0-12.fc39.noarch 34/286 Verifying : fonts-filesystem-1:2.0.5-12.fc39.noarch 35/286 Verifying : freetype-2.13.1-2.fc39.aarch64 36/286 Verifying : freetype-devel-2.13.1-2.fc39.aarch64 37/286 Verifying : gc-8.2.2-4.fc39.aarch64 38/286 Verifying : gettext-0.22-2.fc39.aarch64 39/286 Verifying : gettext-envsubst-0.22-2.fc39.aarch64 40/286 Verifying : gettext-libs-0.22-2.fc39.aarch64 41/286 Verifying : gettext-runtime-0.22-2.fc39.aarch64 42/286 Verifying : gflags-2.2.2-12.fc39.aarch64 43/286 Verifying : gpgme-1.20.0-5.fc39.aarch64 44/286 Verifying : graphite2-1.3.14-12.fc39.aarch64 45/286 Verifying : graphite2-devel-1.3.14-12.fc39.aarch64 46/286 Verifying : guile22-2.2.7-9.fc39.aarch64 47/286 Verifying : harfbuzz-8.2.1-2.fc39.aarch64 48/286 Verifying : harfbuzz-devel-8.2.1-2.fc39.aarch64 49/286 Verifying : harfbuzz-icu-8.2.1-2.fc39.aarch64 50/286 Verifying : ima-evm-utils-1.5-2.fc39.aarch64 51/286 Verifying : json-c-0.17-1.fc39.aarch64 52/286 Verifying : jsoncpp-1.9.5-5.fc39.aarch64 53/286 Verifying : kmod-libs-30-6.fc39.aarch64 54/286 Verifying : libXau-1.0.11-3.fc39.aarch64 55/286 Verifying : libXau-devel-1.0.11-3.fc39.aarch64 56/286 Verifying : libXext-1.3.5-3.fc39.aarch64 57/286 Verifying : libXft-2.3.8-3.fc39.aarch64 58/286 Verifying : libXft-devel-2.3.8-3.fc39.aarch64 59/286 Verifying : libXrender-0.9.11-3.fc39.aarch64 60/286 Verifying : libXrender-devel-0.9.11-3.fc39.aarch64 61/286 Verifying : libassuan-2.5.6-2.fc39.aarch64 62/286 Verifying : libb2-0.98.1-9.fc39.aarch64 63/286 Verifying : libcbor-0.10.2-2.fc39.aarch64 64/286 Verifying : libffi-devel-3.4.4-4.fc39.aarch64 65/286 Verifying : libfido2-1.13.0-3.fc39.aarch64 66/286 Verifying : libfsverity-1.4-10.fc39.aarch64 67/286 Verifying : libftdi-1.5-10.fc39.aarch64 68/286 Verifying : libgcrypt-1.10.2-2.fc39.aarch64 69/286 Verifying : libgpg-error-1.47-2.fc39.aarch64 70/286 Verifying : libicu-73.2-2.fc39.aarch64 71/286 Verifying : libicu-devel-73.2-2.fc39.aarch64 72/286 Verifying : libksba-1.6.4-2.fc39.aarch64 73/286 Verifying : libmetalink-0.1.3-32.fc39.aarch64 74/286 Verifying : libmodulemd-2.15.0-5.fc39.aarch64 75/286 Verifying : libmpc-1.3.1-3.fc39.aarch64 76/286 Verifying : libpng-2:1.6.37-15.fc39.aarch64 77/286 Verifying : libpng-devel-2:1.6.37-15.fc39.aarch64 78/286 Verifying : libselinux-devel-3.5-5.fc39.aarch64 79/286 Verifying : libsepol-devel-3.5-2.fc39.aarch64 80/286 Verifying : libxcb-1.13.1-12.fc39.aarch64 81/286 Verifying : libxcb-devel-1.13.1-12.fc39.aarch64 82/286 Verifying : libxcrypt-devel-4.4.36-2.fc39.aarch64 83/286 Verifying : libxml2-devel-2.10.4-3.fc39.aarch64 84/286 Verifying : libyaml-0.2.5-12.fc39.aarch64 85/286 Verifying : make-1:4.4.1-2.fc39.aarch64 86/286 Verifying : mpdecimal-2.5.1-7.fc39.aarch64 87/286 Verifying : nettle-3.9.1-2.fc39.aarch64 88/286 Verifying : npth-1.6-14.fc39.aarch64 89/286 Verifying : openblas-0.3.21-6.fc39.aarch64 90/286 Verifying : openblas-openmp-0.3.21-6.fc39.aarch64 91/286 Verifying : pcre2-devel-10.42-1.fc39.2.aarch64 92/286 Verifying : pcre2-utf16-10.42-1.fc39.2.aarch64 93/286 Verifying : pcre2-utf32-10.42-1.fc39.2.aarch64 94/286 Verifying : perl-Carp-1.54-500.fc39.noarch 95/286 Verifying : perl-Data-Dumper-2.188-501.fc39.aarch64 96/286 Verifying : perl-Digest-1.20-500.fc39.noarch 97/286 Verifying : perl-Digest-MD5-2.58-500.fc39.aarch64 98/286 Verifying : perl-Encode-4:3.19-500.fc39.aarch64 99/286 Verifying : perl-Error-1:0.17029-13.fc39.noarch 100/286 Verifying : perl-Exporter-5.77-500.fc39.noarch 101/286 Verifying : perl-File-Path-2.18-500.fc39.noarch 102/286 Verifying : perl-File-Temp-1:0.231.100-500.fc39.noarch 103/286 Verifying : perl-Getopt-Long-1:2.54-500.fc39.noarch 104/286 Verifying : perl-HTTP-Tiny-0.088-3.fc39.noarch 105/286 Verifying : perl-IO-Socket-IP-0.42-1.fc39.noarch 106/286 Verifying : perl-IO-Socket-SSL-2.083-3.fc39.noarch 107/286 Verifying : perl-MIME-Base64-3.16-500.fc39.aarch64 108/286 Verifying : perl-Mozilla-CA-20230801-1.fc39.noarch 109/286 Verifying : perl-Net-SSLeay-1.92-10.fc39.aarch64 110/286 Verifying : perl-PathTools-3.89-500.fc39.aarch64 111/286 Verifying : perl-Pod-Escapes-1:1.07-500.fc39.noarch 112/286 Verifying : perl-Pod-Perldoc-3.28.01-501.fc39.noarch 113/286 Verifying : perl-Pod-Simple-1:3.45-4.fc39.noarch 114/286 Verifying : perl-Pod-Usage-4:2.03-500.fc39.noarch 115/286 Verifying : perl-Scalar-List-Utils-5:1.63-500.fc39.aarch64 116/286 Verifying : perl-Socket-4:2.037-3.fc39.aarch64 117/286 Verifying : perl-Storable-1:3.32-500.fc39.aarch64 118/286 Verifying : perl-Term-ANSIColor-5.01-501.fc39.noarch 119/286 Verifying : perl-Term-Cap-1.18-500.fc39.noarch 120/286 Verifying : perl-TermReadKey-2.38-18.fc39.aarch64 121/286 Verifying : perl-Text-ParseWords-3.31-500.fc39.noarch 122/286 Verifying : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch 123/286 Verifying : perl-Time-Local-2:1.350-3.fc39.noarch 124/286 Verifying : perl-URI-5.21-1.fc39.noarch 125/286 Verifying : perl-constant-1.33-501.fc39.noarch 126/286 Verifying : perl-libnet-3.15-501.fc39.noarch 127/286 Verifying : perl-parent-1:0.241-500.fc39.noarch 128/286 Verifying : perl-podlators-1:5.01-500.fc39.noarch 129/286 Verifying : pixman-0.42.2-2.fc39.aarch64 130/286 Verifying : pybind11-devel-2.11.1-1.fc39.aarch64 131/286 Verifying : python3-arpeggio-1.10.2-9.fc39.noarch 132/286 Verifying : python3-intervaltree-3.1.0-10.fc39.noarch 133/286 Verifying : python3-numpy-1:1.24.4-2.fc39.aarch64 134/286 Verifying : python3-packaging-23.1-4.fc39.noarch 135/286 Verifying : python3-pyyaml-6.0.1-11.fc39.aarch64 136/286 Verifying : python3-rpm-generators-14-7.fc39.noarch 137/286 Verifying : python3-sortedcontainers-2.4.0-13.fc39.noarch 138/286 Verifying : rhash-1.4.3-3.fc39.aarch64 139/286 Verifying : tbb-2020.3-20.fc39.aarch64 140/286 Verifying : tbb-devel-2020.3-20.fc39.aarch64 141/286 Verifying : tcl-1:8.6.12-5.fc39.aarch64 142/286 Verifying : tcl-devel-1:8.6.12-5.fc39.aarch64 143/286 Verifying : tk-1:8.6.12-5.fc39.aarch64 144/286 Verifying : tk-devel-1:8.6.12-5.fc39.aarch64 145/286 Verifying : xml-common-0.6.3-61.fc39.noarch 146/286 Verifying : xorg-x11-proto-devel-2023.2-2.fc39.noarch 147/286 Verifying : xz-devel-5.4.4-1.fc39.aarch64 148/286 Verifying : yaml-cpp-0.7.0-4.fc39.aarch64 149/286 Verifying : zlib-devel-1.2.13-4.fc39.aarch64 150/286 Verifying : annobin-docs-12.60-1.fc39.noarch 151/286 Verifying : annobin-plugin-gcc-12.60-1.fc39.aarch64 152/286 Verifying : boost-1.81.0-11.fc39.aarch64 153/286 Verifying : boost-atomic-1.81.0-11.fc39.aarch64 154/286 Verifying : boost-chrono-1.81.0-11.fc39.aarch64 155/286 Verifying : boost-container-1.81.0-11.fc39.aarch64 156/286 Verifying : boost-context-1.81.0-11.fc39.aarch64 157/286 Verifying : boost-contract-1.81.0-11.fc39.aarch64 158/286 Verifying : boost-coroutine-1.81.0-11.fc39.aarch64 159/286 Verifying : boost-date-time-1.81.0-11.fc39.aarch64 160/286 Verifying : boost-devel-1.81.0-11.fc39.aarch64 161/286 Verifying : boost-fiber-1.81.0-11.fc39.aarch64 162/286 Verifying : boost-filesystem-1.81.0-11.fc39.aarch64 163/286 Verifying : boost-graph-1.81.0-11.fc39.aarch64 164/286 Verifying : boost-iostreams-1.81.0-11.fc39.aarch64 165/286 Verifying : boost-json-1.81.0-11.fc39.aarch64 166/286 Verifying : boost-locale-1.81.0-11.fc39.aarch64 167/286 Verifying : boost-log-1.81.0-11.fc39.aarch64 168/286 Verifying : boost-math-1.81.0-11.fc39.aarch64 169/286 Verifying : boost-nowide-1.81.0-11.fc39.aarch64 170/286 Verifying : boost-numpy3-1.81.0-11.fc39.aarch64 171/286 Verifying : boost-program-options-1.81.0-11.fc39.aarch64 172/286 Verifying : boost-python3-1.81.0-11.fc39.aarch64 173/286 Verifying : boost-random-1.81.0-11.fc39.aarch64 174/286 Verifying : boost-regex-1.81.0-11.fc39.aarch64 175/286 Verifying : boost-serialization-1.81.0-11.fc39.aarch64 176/286 Verifying : boost-stacktrace-1.81.0-11.fc39.aarch64 177/286 Verifying : boost-system-1.81.0-11.fc39.aarch64 178/286 Verifying : boost-test-1.81.0-11.fc39.aarch64 179/286 Verifying : boost-thread-1.81.0-11.fc39.aarch64 180/286 Verifying : boost-timer-1.81.0-11.fc39.aarch64 181/286 Verifying : boost-type_erasure-1.81.0-11.fc39.aarch64 182/286 Verifying : boost-wave-1.81.0-11.fc39.aarch64 183/286 Verifying : cpp-13.3.1-3.fc39.aarch64 184/286 Verifying : dbus-broker-36-2.fc39.aarch64 185/286 Verifying : dnf-4.21.1-1.fc39.noarch 186/286 Verifying : dnf-data-4.21.1-1.fc39.noarch 187/286 Verifying : emacs-filesystem-1:29.4-2.fc39.noarch 188/286 Verifying : expat-2.6.3-1.fc39.aarch64 189/286 Verifying : flexiblas-3.4.4-1.fc39.aarch64 190/286 Verifying : flexiblas-netlib-3.4.4-1.fc39.aarch64 191/286 Verifying : flexiblas-openblas-openmp-3.4.4-1.fc39.aarch64 192/286 Verifying : fontconfig-2.14.2-6.fc39.aarch64 193/286 Verifying : fontconfig-devel-2.14.2-6.fc39.aarch64 194/286 Verifying : gcc-13.3.1-3.fc39.aarch64 195/286 Verifying : gcc-c++-13.3.1-3.fc39.aarch64 196/286 Verifying : gcc-plugin-annobin-13.3.1-3.fc39.aarch64 197/286 Verifying : git-2.46.2-1.fc39.aarch64 198/286 Verifying : git-core-2.46.2-1.fc39.aarch64 199/286 Verifying : git-core-doc-2.46.2-1.fc39.noarch 200/286 Verifying : glib2-2.78.6-1.fc39.aarch64 201/286 Verifying : glib2-devel-2.78.6-1.fc39.aarch64 202/286 Verifying : gnupg2-2.4.4-1.fc39.aarch64 203/286 Verifying : gnutls-3.8.6-1.fc39.aarch64 204/286 Verifying : google-noto-fonts-common-20240101-1.fc39.noarch 205/286 Verifying : google-noto-sans-vf-fonts-20240101-1.fc39.noarch 206/286 Verifying : groff-base-1.23.0-3.fc39.aarch64 207/286 Verifying : kernel-headers-6.10.3-200.fc39.aarch64 208/286 Verifying : less-633-4.fc39.aarch64 209/286 Verifying : libX11-1.8.9-1.fc39.aarch64 210/286 Verifying : libX11-common-1.8.9-1.fc39.noarch 211/286 Verifying : libX11-devel-1.8.9-1.fc39.aarch64 212/286 Verifying : libX11-xcb-1.8.9-1.fc39.aarch64 213/286 Verifying : libasan-13.3.1-3.fc39.aarch64 214/286 Verifying : libatomic-13.3.1-3.fc39.aarch64 215/286 Verifying : libblkid-devel-2.39.4-1.fc39.aarch64 216/286 Verifying : libcomps-0.1.20-1.fc39.aarch64 217/286 Verifying : libdnf-0.73.3-1.fc39.aarch64 218/286 Verifying : libedit-3.1-53.20240808cvs.fc39.aarch64 219/286 Verifying : libgfortran-13.3.1-3.fc39.aarch64 220/286 Verifying : libmount-devel-2.39.4-1.fc39.aarch64 221/286 Verifying : librepo-1.18.1-1.fc39.aarch64 222/286 Verifying : libseccomp-2.5.5-1.fc39.aarch64 223/286 Verifying : libsolv-0.7.30-1.fc39.aarch64 224/286 Verifying : libstdc++-devel-13.3.1-3.fc39.aarch64 225/286 Verifying : libubsan-13.3.1-3.fc39.aarch64 226/286 Verifying : libusb1-1.0.27-2.fc39.aarch64 227/286 Verifying : libuv-1:1.48.0-1.fc39.aarch64 228/286 Verifying : ncurses-6.4-7.20230520.fc39.1.aarch64 229/286 Verifying : openssh-9.3p1-11.fc39.aarch64 230/286 Verifying : openssh-clients-9.3p1-11.fc39.aarch64 231/286 Verifying : perl-AutoLoader-5.74-502.fc39.noarch 232/286 Verifying : perl-B-1.88-502.fc39.aarch64 233/286 Verifying : perl-Class-Struct-0.68-502.fc39.noarch 234/286 Verifying : perl-DynaLoader-1.54-502.fc39.aarch64 235/286 Verifying : perl-Errno-1.37-502.fc39.aarch64 236/286 Verifying : perl-Fcntl-1.15-502.fc39.aarch64 237/286 Verifying : perl-File-Basename-2.86-502.fc39.noarch 238/286 Verifying : perl-File-Find-1.43-502.fc39.noarch 239/286 Verifying : perl-File-stat-1.13-502.fc39.noarch 240/286 Verifying : perl-FileHandle-2.05-502.fc39.noarch 241/286 Verifying : perl-Getopt-Std-1.13-502.fc39.noarch 242/286 Verifying : perl-Git-2.46.2-1.fc39.noarch 243/286 Verifying : perl-IO-1.52-502.fc39.aarch64 244/286 Verifying : perl-IPC-Open3-1.22-502.fc39.noarch 245/286 Verifying : perl-POSIX-2.13-502.fc39.aarch64 246/286 Verifying : perl-SelectSaver-1.02-502.fc39.noarch 247/286 Verifying : perl-Symbol-1.09-502.fc39.noarch 248/286 Verifying : perl-base-2.27-502.fc39.noarch 249/286 Verifying : perl-if-0.61.000-502.fc39.noarch 250/286 Verifying : perl-interpreter-4:5.38.2-502.fc39.aarch64 251/286 Verifying : perl-lib-0.65-502.fc39.aarch64 252/286 Verifying : perl-libs-4:5.38.2-502.fc39.aarch64 253/286 Verifying : perl-locale-1.10-502.fc39.noarch 254/286 Verifying : perl-mro-1.28-502.fc39.aarch64 255/286 Verifying : perl-overload-1.37-502.fc39.noarch 256/286 Verifying : perl-overloading-0.02-502.fc39.noarch 257/286 Verifying : perl-vars-1.05-502.fc39.noarch 258/286 Verifying : pyproject-rpm-macros-1.13.0-1.fc39.noarch 259/286 Verifying : pypy3.10-7.3.15-2.3.10.fc39.aarch64 260/286 Verifying : pypy3.10-libs-7.3.15-2.3.10.fc39.aarch64 261/286 Verifying : python-pip-wheel-23.2.1-2.fc39.noarch 262/286 Verifying : python-rpm-macros-3.12-8.fc39.noarch 263/286 Verifying : python-setuptools-wheel-67.7.2-8.fc39.noarch 264/286 Verifying : python3-3.12.6-1.fc39.aarch64 265/286 Verifying : python3-devel-3.12.6-1.fc39.aarch64 266/286 Verifying : python3-dnf-4.21.1-1.fc39.noarch 267/286 Verifying : python3-hawkey-0.73.3-1.fc39.aarch64 268/286 Verifying : python3-libcomps-0.1.20-1.fc39.aarch64 269/286 Verifying : python3-libdnf-0.73.3-1.fc39.aarch64 270/286 Verifying : python3-libs-3.12.6-1.fc39.aarch64 271/286 Verifying : python3-rpm-4.19.1.1-1.fc39.aarch64 272/286 Verifying : python3-rpm-macros-3.12-8.fc39.noarch 273/286 Verifying : python3-setuptools-67.7.2-8.fc39.noarch 274/286 Verifying : python3-simplejson-3.19.2-1.fc39.aarch64 275/286 Verifying : rpm-sign-libs-4.19.1.1-1.fc39.aarch64 276/286 Verifying : sysprof-capture-devel-45.1-1.fc39.aarch64 277/286 Verifying : systemd-254.18-1.fc39.aarch64 278/286 Verifying : systemd-pam-254.18-1.fc39.aarch64 279/286 Verifying : systemd-rpm-macros-254.18-1.fc39.noarch 280/286 Verifying : tpm2-tss-4.0.2-1.fc39.aarch64 281/286 Verifying : tzdata-2024a-2.fc39.noarch 282/286 Verifying : vim-filesystem-2:9.1.719-1.fc39.noarch 283/286 Verifying : wget-1.21.4-1.fc39.aarch64 284/286 Verifying : yum-4.21.1-1.fc39.noarch 285/286 Verifying : zchunk-libs-1.5.1-1.fc39.aarch64 286/286 Installed: abattis-cantarell-vf-fonts-0.301-10.fc39.noarch annobin-docs-12.60-1.fc39.noarch annobin-plugin-gcc-12.60-1.fc39.aarch64 boost-1.81.0-11.fc39.aarch64 boost-atomic-1.81.0-11.fc39.aarch64 boost-chrono-1.81.0-11.fc39.aarch64 boost-container-1.81.0-11.fc39.aarch64 boost-context-1.81.0-11.fc39.aarch64 boost-contract-1.81.0-11.fc39.aarch64 boost-coroutine-1.81.0-11.fc39.aarch64 boost-date-time-1.81.0-11.fc39.aarch64 boost-devel-1.81.0-11.fc39.aarch64 boost-fiber-1.81.0-11.fc39.aarch64 boost-filesystem-1.81.0-11.fc39.aarch64 boost-graph-1.81.0-11.fc39.aarch64 boost-iostreams-1.81.0-11.fc39.aarch64 boost-json-1.81.0-11.fc39.aarch64 boost-locale-1.81.0-11.fc39.aarch64 boost-log-1.81.0-11.fc39.aarch64 boost-math-1.81.0-11.fc39.aarch64 boost-nowide-1.81.0-11.fc39.aarch64 boost-numpy3-1.81.0-11.fc39.aarch64 boost-program-options-1.81.0-11.fc39.aarch64 boost-python3-1.81.0-11.fc39.aarch64 boost-random-1.81.0-11.fc39.aarch64 boost-regex-1.81.0-11.fc39.aarch64 boost-serialization-1.81.0-11.fc39.aarch64 boost-stacktrace-1.81.0-11.fc39.aarch64 boost-system-1.81.0-11.fc39.aarch64 boost-test-1.81.0-11.fc39.aarch64 boost-thread-1.81.0-11.fc39.aarch64 boost-timer-1.81.0-11.fc39.aarch64 boost-type_erasure-1.81.0-11.fc39.aarch64 boost-wave-1.81.0-11.fc39.aarch64 brotli-1.1.0-1.fc39.aarch64 brotli-devel-1.1.0-1.fc39.aarch64 bzip2-devel-1.0.8-16.fc39.aarch64 cairo-1.18.0-1.fc39.aarch64 capnproto-1.0.1-1.fc39.aarch64 capnproto-devel-1.0.1-1.fc39.aarch64 capnproto-libs-1.0.1-1.fc39.aarch64 cmake-3.27.7-1.fc39.aarch64 cmake-data-3.27.7-1.fc39.noarch cmake-filesystem-3.27.7-1.fc39.aarch64 cmake-rpm-macros-3.27.7-1.fc39.noarch cpp-13.3.1-3.fc39.aarch64 dbus-1:1.14.10-1.fc39.aarch64 dbus-broker-36-2.fc39.aarch64 dbus-common-1:1.14.10-1.fc39.noarch default-fonts-core-sans-4.0-9.fc39.noarch dnf-4.21.1-1.fc39.noarch dnf-data-4.21.1-1.fc39.noarch eigen3-devel-3.4.0-12.fc39.noarch emacs-filesystem-1:29.4-2.fc39.noarch expat-2.6.3-1.fc39.aarch64 fasm-python3-0.0.2-20220725.4.gitffafe821.fc39.noarch flexiblas-3.4.4-1.fc39.aarch64 flexiblas-netlib-3.4.4-1.fc39.aarch64 flexiblas-openblas-openmp-3.4.4-1.fc39.aarch64 fontconfig-2.14.2-6.fc39.aarch64 fontconfig-devel-2.14.2-6.fc39.aarch64 fonts-filesystem-1:2.0.5-12.fc39.noarch freetype-2.13.1-2.fc39.aarch64 freetype-devel-2.13.1-2.fc39.aarch64 gc-8.2.2-4.fc39.aarch64 gcc-13.3.1-3.fc39.aarch64 gcc-c++-13.3.1-3.fc39.aarch64 gcc-plugin-annobin-13.3.1-3.fc39.aarch64 gettext-0.22-2.fc39.aarch64 gettext-envsubst-0.22-2.fc39.aarch64 gettext-libs-0.22-2.fc39.aarch64 gettext-runtime-0.22-2.fc39.aarch64 gflags-2.2.2-12.fc39.aarch64 git-2.46.2-1.fc39.aarch64 git-core-2.46.2-1.fc39.aarch64 git-core-doc-2.46.2-1.fc39.noarch glib2-2.78.6-1.fc39.aarch64 glib2-devel-2.78.6-1.fc39.aarch64 glibc-devel-2.38-99.fc39.aarch64 gnupg2-2.4.4-1.fc39.aarch64 gnutls-3.8.6-1.fc39.aarch64 google-noto-fonts-common-20240101-1.fc39.noarch google-noto-sans-vf-fonts-20240101-1.fc39.noarch gpgme-1.20.0-5.fc39.aarch64 graphite2-1.3.14-12.fc39.aarch64 graphite2-devel-1.3.14-12.fc39.aarch64 groff-base-1.23.0-3.fc39.aarch64 guile22-2.2.7-9.fc39.aarch64 harfbuzz-8.2.1-2.fc39.aarch64 harfbuzz-devel-8.2.1-2.fc39.aarch64 harfbuzz-icu-8.2.1-2.fc39.aarch64 icestorm-0-20240624.0.git738af822.fc39.aarch64 ima-evm-utils-1.5-2.fc39.aarch64 json-c-0.17-1.fc39.aarch64 json11-1.0.0-10.fc39.aarch64 json11-devel-1.0.0-10.fc39.aarch64 jsoncpp-1.9.5-5.fc39.aarch64 kernel-headers-6.10.3-200.fc39.aarch64 kmod-libs-30-6.fc39.aarch64 less-633-4.fc39.aarch64 libX11-1.8.9-1.fc39.aarch64 libX11-common-1.8.9-1.fc39.noarch libX11-devel-1.8.9-1.fc39.aarch64 libX11-xcb-1.8.9-1.fc39.aarch64 libXau-1.0.11-3.fc39.aarch64 libXau-devel-1.0.11-3.fc39.aarch64 libXext-1.3.5-3.fc39.aarch64 libXft-2.3.8-3.fc39.aarch64 libXft-devel-2.3.8-3.fc39.aarch64 libXrender-0.9.11-3.fc39.aarch64 libXrender-devel-0.9.11-3.fc39.aarch64 libasan-13.3.1-3.fc39.aarch64 libassuan-2.5.6-2.fc39.aarch64 libatomic-13.3.1-3.fc39.aarch64 libb2-0.98.1-9.fc39.aarch64 libblkid-devel-2.39.4-1.fc39.aarch64 libcbor-0.10.2-2.fc39.aarch64 libcomps-0.1.20-1.fc39.aarch64 libdnf-0.73.3-1.fc39.aarch64 libedit-3.1-53.20240808cvs.fc39.aarch64 libffi-devel-3.4.4-4.fc39.aarch64 libfido2-1.13.0-3.fc39.aarch64 libfsverity-1.4-10.fc39.aarch64 libftdi-1.5-10.fc39.aarch64 libgcrypt-1.10.2-2.fc39.aarch64 libgfortran-13.3.1-3.fc39.aarch64 libgpg-error-1.47-2.fc39.aarch64 libicu-73.2-2.fc39.aarch64 libicu-devel-73.2-2.fc39.aarch64 libksba-1.6.4-2.fc39.aarch64 libmetalink-0.1.3-32.fc39.aarch64 libmodulemd-2.15.0-5.fc39.aarch64 libmount-devel-2.39.4-1.fc39.aarch64 libmpc-1.3.1-3.fc39.aarch64 libpng-2:1.6.37-15.fc39.aarch64 libpng-devel-2:1.6.37-15.fc39.aarch64 librepo-1.18.1-1.fc39.aarch64 libseccomp-2.5.5-1.fc39.aarch64 libselinux-devel-3.5-5.fc39.aarch64 libsepol-devel-3.5-2.fc39.aarch64 libsolv-0.7.30-1.fc39.aarch64 libstdc++-devel-13.3.1-3.fc39.aarch64 libubsan-13.3.1-3.fc39.aarch64 libusb1-1.0.27-2.fc39.aarch64 libuv-1:1.48.0-1.fc39.aarch64 libxcb-1.13.1-12.fc39.aarch64 libxcb-devel-1.13.1-12.fc39.aarch64 libxcrypt-devel-4.4.36-2.fc39.aarch64 libxml2-devel-2.10.4-3.fc39.aarch64 libyaml-0.2.5-12.fc39.aarch64 make-1:4.4.1-2.fc39.aarch64 mpdecimal-2.5.1-7.fc39.aarch64 ncurses-6.4-7.20230520.fc39.1.aarch64 nettle-3.9.1-2.fc39.aarch64 npth-1.6-14.fc39.aarch64 openblas-0.3.21-6.fc39.aarch64 openblas-openmp-0.3.21-6.fc39.aarch64 openssh-9.3p1-11.fc39.aarch64 openssh-clients-9.3p1-11.fc39.aarch64 pcre2-devel-10.42-1.fc39.2.aarch64 pcre2-utf16-10.42-1.fc39.2.aarch64 pcre2-utf32-10.42-1.fc39.2.aarch64 perl-AutoLoader-5.74-502.fc39.noarch perl-B-1.88-502.fc39.aarch64 perl-Carp-1.54-500.fc39.noarch perl-Class-Struct-0.68-502.fc39.noarch perl-Data-Dumper-2.188-501.fc39.aarch64 perl-Digest-1.20-500.fc39.noarch perl-Digest-MD5-2.58-500.fc39.aarch64 perl-DynaLoader-1.54-502.fc39.aarch64 perl-Encode-4:3.19-500.fc39.aarch64 perl-Errno-1.37-502.fc39.aarch64 perl-Error-1:0.17029-13.fc39.noarch perl-Exporter-5.77-500.fc39.noarch perl-Fcntl-1.15-502.fc39.aarch64 perl-File-Basename-2.86-502.fc39.noarch perl-File-Find-1.43-502.fc39.noarch perl-File-Path-2.18-500.fc39.noarch perl-File-Temp-1:0.231.100-500.fc39.noarch perl-File-stat-1.13-502.fc39.noarch perl-FileHandle-2.05-502.fc39.noarch perl-Getopt-Long-1:2.54-500.fc39.noarch perl-Getopt-Std-1.13-502.fc39.noarch perl-Git-2.46.2-1.fc39.noarch perl-HTTP-Tiny-0.088-3.fc39.noarch perl-IO-1.52-502.fc39.aarch64 perl-IO-Socket-IP-0.42-1.fc39.noarch perl-IO-Socket-SSL-2.083-3.fc39.noarch perl-IPC-Open3-1.22-502.fc39.noarch perl-MIME-Base64-3.16-500.fc39.aarch64 perl-Mozilla-CA-20230801-1.fc39.noarch perl-Net-SSLeay-1.92-10.fc39.aarch64 perl-POSIX-2.13-502.fc39.aarch64 perl-PathTools-3.89-500.fc39.aarch64 perl-Pod-Escapes-1:1.07-500.fc39.noarch perl-Pod-Perldoc-3.28.01-501.fc39.noarch perl-Pod-Simple-1:3.45-4.fc39.noarch perl-Pod-Usage-4:2.03-500.fc39.noarch perl-Scalar-List-Utils-5:1.63-500.fc39.aarch64 perl-SelectSaver-1.02-502.fc39.noarch perl-Socket-4:2.037-3.fc39.aarch64 perl-Storable-1:3.32-500.fc39.aarch64 perl-Symbol-1.09-502.fc39.noarch perl-Term-ANSIColor-5.01-501.fc39.noarch perl-Term-Cap-1.18-500.fc39.noarch perl-TermReadKey-2.38-18.fc39.aarch64 perl-Text-ParseWords-3.31-500.fc39.noarch perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch perl-Time-Local-2:1.350-3.fc39.noarch perl-URI-5.21-1.fc39.noarch perl-base-2.27-502.fc39.noarch perl-constant-1.33-501.fc39.noarch perl-if-0.61.000-502.fc39.noarch perl-interpreter-4:5.38.2-502.fc39.aarch64 perl-lib-0.65-502.fc39.aarch64 perl-libnet-3.15-501.fc39.noarch perl-libs-4:5.38.2-502.fc39.aarch64 perl-locale-1.10-502.fc39.noarch perl-mro-1.28-502.fc39.aarch64 perl-overload-1.37-502.fc39.noarch perl-overloading-0.02-502.fc39.noarch perl-parent-1:0.241-500.fc39.noarch perl-podlators-1:5.01-500.fc39.noarch perl-vars-1.05-502.fc39.noarch pixman-0.42.2-2.fc39.aarch64 prjapicula-0.14-20240912.0.git4f87247f.fc39.noarch prjoxide-0-20240105.0.git30712ff9.fc39.aarch64 prjoxide-data-0-20240105.0.git30712ff9.fc39.noarch prjoxide-devel-0-20240105.0.git30712ff9.fc39.aarch64 prjtrellis-1.4-20240129.2.git2dab0095.fc39.aarch64 prjtrellis-data-1.4-20240129.2.git2dab0095.fc39.noarch prjtrellis-devel-1.4-20240129.2.git2dab0095.fc39.aarch64 prjtrellis-python3-1.4-20240129.2.git2dab0095.fc39.aarch64 prjxray-0.0.1-20240928.0.gitf2d21573.fc39.aarch64 prjxray-data-0.0.1-20240928.0.gitf2d21573.fc39.noarch prjxray-python3-0.0.1-20240928.0.gitf2d21573.fc39.aarch64 pybind11-devel-2.11.1-1.fc39.aarch64 pyproject-rpm-macros-1.13.0-1.fc39.noarch pypy3.10-7.3.15-2.3.10.fc39.aarch64 pypy3.10-libs-7.3.15-2.3.10.fc39.aarch64 python-pip-wheel-23.2.1-2.fc39.noarch python-rpm-macros-3.12-8.fc39.noarch python-setuptools-wheel-67.7.2-8.fc39.noarch python3-3.12.6-1.fc39.aarch64 python3-arpeggio-1.10.2-9.fc39.noarch python3-crc-7.0.0-1.fc39.noarch python3-devel-3.12.6-1.fc39.aarch64 python3-dnf-4.21.1-1.fc39.noarch python3-hawkey-0.73.3-1.fc39.aarch64 python3-intervaltree-3.1.0-10.fc39.noarch python3-libcomps-0.1.20-1.fc39.aarch64 python3-libdnf-0.73.3-1.fc39.aarch64 python3-libs-3.12.6-1.fc39.aarch64 python3-numpy-1:1.24.4-2.fc39.aarch64 python3-packaging-23.1-4.fc39.noarch python3-pyyaml-6.0.1-11.fc39.aarch64 python3-rpm-4.19.1.1-1.fc39.aarch64 python3-rpm-generators-14-7.fc39.noarch python3-rpm-macros-3.12-8.fc39.noarch python3-setuptools-67.7.2-8.fc39.noarch python3-simplejson-3.19.2-1.fc39.aarch64 python3-sortedcontainers-2.4.0-13.fc39.noarch rhash-1.4.3-3.fc39.aarch64 rpm-sign-libs-4.19.1.1-1.fc39.aarch64 sysprof-capture-devel-45.1-1.fc39.aarch64 systemd-254.18-1.fc39.aarch64 systemd-pam-254.18-1.fc39.aarch64 systemd-rpm-macros-254.18-1.fc39.noarch tbb-2020.3-20.fc39.aarch64 tbb-devel-2020.3-20.fc39.aarch64 tcl-1:8.6.12-5.fc39.aarch64 tcl-devel-1:8.6.12-5.fc39.aarch64 textx-python3-4.0.1-20240808.0.git45bba74b.fc39.noarch tk-1:8.6.12-5.fc39.aarch64 tk-devel-1:8.6.12-5.fc39.aarch64 tpm2-tss-4.0.2-1.fc39.aarch64 tzdata-2024a-2.fc39.noarch vim-filesystem-2:9.1.719-1.fc39.noarch wget-1.21.4-1.fc39.aarch64 xml-common-0.6.3-61.fc39.noarch xorg-x11-proto-devel-2023.2-2.fc39.noarch xz-devel-5.4.4-1.fc39.aarch64 yaml-cpp-0.7.0-4.fc39.aarch64 yum-4.21.1-1.fc39.noarch zchunk-libs-1.5.1-1.fc39.aarch64 zlib-devel-1.2.13-4.fc39.aarch64 Complete! Finish: build setup for nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm Start: rpmbuild nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.WA0V1y + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf nextpnr + /usr/bin/mkdir -p nextpnr + cd nextpnr + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/YosysHQ/nextpnr.git . Cloning into '.'... + git fetch --depth 1 origin 268b32c341587aba99b4144e7793b58890004c89 From https://github.com/YosysHQ/nextpnr * branch 268b32c341587aba99b4144e7793b58890004c89 -> FETCH_HEAD + git reset --hard 268b32c341587aba99b4144e7793b58890004c89 HEAD is now at 268b32c router2: additional heatmap data + git submodule update --init --depth 1 himbaechel/uarch/xilinx/meta Submodule 'himbaechel/uarch/xilinx/meta' (https://github.com/gatecat/nextpnr-xilinx-meta) registered for path 'himbaechel/uarch/xilinx/meta' Cloning into '/builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/meta'... Submodule path 'himbaechel/uarch/xilinx/meta': checked out '57de9216639b0670949664cfdc61b2679064eb7b' + git log --format=fuller commit 268b32c341587aba99b4144e7793b58890004c89 Author: Lofty AuthorDate: Wed May 22 22:56:51 2024 +0100 Commit: myrtle CommitDate: Wed Oct 2 16:29:55 2024 +0200 router2: additional heatmap data + cd /builddir/build/BUILD + /usr/bin/mkdir -p nextpnr + cd nextpnr + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -rf 3rdparty/json11 + rm -rf 3rdparty/pybind11 + rm -rf 3rdparty/googletest + rm -rf 3rdparty/abseil-cpp + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + sed -i /abseil-cpp/d CMakeLists.txt + sed -i 's|absl::flat_hash_set|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|absl::flat_hash_map|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|set(EXTRA_LIB_DEPS)|set(EXTRA_LIB_DEPS json11)|' CMakeLists.txt + sed -i '1i #include ' common/kernel/hashlib.h + sed -i -e 's|/lib/|/lib64/|g' ecp5/CMakeLists.txt + sed -i -e 's|share/icebox|share/icestorm|g' ice40/CMakeLists.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.2YKi4v + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON . -Wno-dev -DCMAKE_SKIP_RPATH=ON -DCMAKE_VERBOSE_MAKEFILE=OFF -DCMAKE_BUILD_TYPE=RelWithDebInfo -DPython3_EXECUTABLE=/usr/bin/python3 '-DARCH=generic;himbaechel;ice40;ecp5;nexus;gowin;machxo2' -DHIMBAECHEL_GOWIN_DEVICES=all '-DHIMBAECHEL_XILINX_DEVICES=xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020' -DHIMBAECHEL_PRJXRAY_DB=/usr/share/xray/database/ -DBUILD_GUI=OFF -DUSE_OPENMP=ON -DPRJOXIDE_PREFIX=/usr -DOXIDE_INSTALL_PREFIX=/usr -DTRELLIS_INSTALL_PREFIX=/usr -DICESTORM_INSTALL_PREFIX=/usr -DRAPIDWRIGHT_PATH=/usr/share/rapidwright -- The CXX compiler identification is GNU 13.3.1 -- The C compiler identification is GNU 13.3.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.81.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.81.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: himbaechel -- Configuring Himbaechel-Example uarch -- Enabled Himbaechel-Example devices: -- Configuring Himbaechel-Gowin uarch -- Found Python3: /usr/bin/python3 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Enabled Himbaechel-Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-4;GW2A-18;GW2A-18C -- Apycula install prefix: (using system Python) -- Configuring Xilinx uarch -- Enabled Himbaechel-Xilinx devices: xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020 -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Searching for pytrellis in: /usr/local/lib;/usr/lib;//lib;/usr/lib;/usr/lib;/usr/X11R6/lib;/usr/pkg/lib;/opt/lib;/usr/lib/X11 -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr/ecp5/chipdb -- Configuring architecture: nexus -- Enabled Nexus families: LIFCL -- prjoxide install prefix: /usr -- Using Nexus chipdb: /builddir/build/BUILD/nextpnr/nexus/chipdb -- Configuring architecture: gowin -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Using Gowin chipdb: /builddir/build/BUILD/nextpnr/gowin/chipdb -- Configuring architecture: machxo2 -- Enabled MachXO2/XO3 devices: 1200;6900 -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using MachXO2/XO3 chipdb: /builddir/build/BUILD/nextpnr/machxo2/chipdb -- Configuring done (2.8s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX PRJOXIDE_PREFIX RAPIDWRIGHT_PATH SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr + /usr/bin/cmake --build . -j4 --verbose Change Dir: '/builddir/build/BUILD/nextpnr' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j4 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr -B/builddir/build/BUILD/nextpnr --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr/CMakeFiles /builddir/build/BUILD/nextpnr//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/depend /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ice40 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ice40 /builddir/build/BUILD/nextpnr/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/bba /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/bba /builddir/build/BUILD/nextpnr/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-himbaechel.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/build /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 0%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [ 0%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o cd /builddir/build/BUILD/nextpnr/bba && /usr/bin/g++ -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr/bba/main.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 0%] Generating chipdb/chipdb-384.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new [ 0%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 1%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 2%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 2%] Built target bbasm /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr/himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Nothing to be done for 'himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 2%] Built target chipdb-himbaechel-example /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-1.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-1 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba device GW1N-1: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NZ-1.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NZ-1 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc device GW1NZ-1: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-4.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-4 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba device GW1N-4: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 2%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc device GW1N-9: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new [ 3%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin [ 4%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9C.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9C -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba device GW1N-9C: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451[ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.5379660129547119 item 0.5424119830131531 item 0.7602660059928894 item 0.7647119760513306 name b_f: item 0.5364840030670166 item 0.5416709780693054 item 0.786201000213623 item 0.8143589496612549 name c_f: item 0.4119960069656372 item 0.4460819959640503 item 0.5942819714546204 item 0.6091020107269287 name d_f: item 0.28528499603271484 item 0.2756519913673401 item 0.46312499046325684 item 0.4638659954071045 name a_ofx: item 0.610584020614624 item 0.608361005783081 item 0.8714159727096558 item 0.875120997428894 name b_ofx: item 0.6091019511222839 item 0.6076200008392334 item 0.8973509669303894 item 0.9247680306434631 name c_ofx: item 0.48461398482322693 item 0.5120309591293335 item 0.7054319977760315 item 0.7195110321044922 name d_ofx: item 0.35790297389030457 item 0.34160101413726807 item 0.5742749571800232 item 0.5742749571800232 name m0_ofx0: item 0.24971701204776764 item 0.24749399721622467 item 0.3497520089149475 item 0.32381701469421387 name m1_ofx1: item 0.20377500355243683 item 0.24601198732852936 item 0.2875080108642578 item 0.37198197841644287 name fx_ofx1: item 0.04890599846839905 item 0.06965399533510208 item 0.13115699589252472 item 0.1207830011844635 group alu: name a_f: item 0.5364840030670166 item 0.5201820135116577 item 0.7365540266036987 item 0.7284029722213745 name b_f: item 0.39865797758102417 item 0.3830970227718353 item 0.5394479632377625 item 0.5209230184555054 name d_f: item 0.38606101274490356 item 0.291953980922699 item 0.5194410085678101 item 0.3993989825248718 name a0_fco: item 0.4408950209617615 item 0.5201820135116577 item 0.7083959579467773 item 0.7098780274391174 name b0_fco: item 0.4994340240955353 item 0.5253689885139465 item 0.7669349908828735 item 0.7743449807167053 name d0_fco: item 0.2237819880247116 item 0.24823500216007233 item 0.40755000710487366 item 0.3341909945011139 name fci_fco: item 0.022970998659729958 item 0.02519400045275688 item 0.04001400247216225 item 0.04223699867725372 name fci_f0: item 0.3571619987487793 item 0.31566599011421204 item 0.3912479877471924 item 0.4171830117702484 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2908424735069275 item 0.2896074950695038 group dff: name di_clksetpos: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clksetneg: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_qpos: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name clk_qneg: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name lsr_q: item 0.6483749747276306 item 0.9262499809265137 item 1.2683448791503906 item 1.3782600164413452 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_dob: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clk_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clka_reseta_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_ocea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_cea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_wrea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_dia_set: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ada_set: item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 name clka_blksel_set: item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_dia_hold: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_hold: item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_oceb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_ceb_set: item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_dib_set: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_blkset_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_adb_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_ce_set: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_oce_set: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_di_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ce_hold: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_blksel_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_reset_set_syn: item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 name clk_reset_hold_syn: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clka_reseta_set_syn: item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 name clka_reseta_hold_syn: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 group fanout: name X0Fan: item 0.10127000510692596 item 0.13646748661994934 item 0.11979499459266663 item 0.15993249416351318 name X1Fan: item 0.03025749884545803 item 0.14572998881340027 item 0.08212749660015106 item 0.23465000092983246 name SX1Fan: item 0.007409999612718821 item 0.03149249777197838 item 0.054957497864961624 item 0.07162999361753464 name X2Fan: item 0.0889199897646904 item 0.0876849964261055 item 0.10867999494075775 item 0.1080624982714653 name X8Fan: item 0.04384249821305275 item 0.06792499870061874 item 0.05310500040650368 item 0.09509499371051788 name FFan: item 0.03643249720335007 item 0.10065249353647232 item 0.0444599948823452 item 0.1259699910879135 name QFan: item 0.0209950003772974 item 0.05742749944329262 item 0.027787500992417336 item 0.07286499440670013 name OFFan: item 0.03149249777197838 item 0.06421999633312225 item 0.04631249979138374 item 0.07842249423265457 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_PCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.05261100083589554 item 0.0622439980506897 item 0.0674309954047203 item 0.07558199763298035 name CIB_CENT_SCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_SCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_SCLK: item 0.5320379734039307 item 0.5312970280647278 item 0.7180289626121521 item 0.7291439771652222 name SPINE_TAP_SCLK_0: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name SPINE_TAP_SCLK_1: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name TAP_BRANCH_SCLK: item 0.05112899839878082 item 0.05483400076627731 item 0.06965399533510208 item 0.07558199763298035 name BRANCH_SCLK: item 0.04223699867725372 item 0.041496001183986664 item 0.059279996901750565 item 0.058539003133773804 name GSRREC_SET: item 0.040754999965429306 item 0.040754999965429306 item 0.04890599846839905 item 0.04890599846839905 name GSRREC_HLD: item 0.037050001323223114 item 0.037050001323223114 item 0.044460002332925797 item 0.044460002332925797 name GSR_MPW: item 2.6920528411865234 item 2.695758104324341 item 3.230463743209839 item 3.234909772872925 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.07132124900817871 item 0.08150999248027802 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.11207624524831772 item 0.12226499617099762 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.15283124148845673 item 0.16301998496055603 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.19358624517917633 item 0.20377500355243683 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.23434126377105713 item 0.24452999234199524 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.27509623765945435 item 0.28528499603271484 item 0.23156249523162842 group wire: name X0: item 0.16968899965286255 item 0.18006299436092377 item 0.24230699241161346 item 0.2378610074520111 name FX1: item 0.22526399791240692 item 0.16376100480556488 item 0.3060329854488373 item 0.22452300786972046 name X2: item 0.19265998899936676 item 0.26009100675582886 item 0.26898300647735596 item 0.355679988861084 name X8: item 0.20747999846935272 item 0.30825600028038025 item 0.305292010307312 item 0.4764629900455475 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.6172530055046082 item 0.6498569846153259 item 0.6172530055046082 item 0.6498569846153259 name b_f: item 0.6091020107269287 item 0.6468930244445801 item 0.6091020107269287 item 0.6468930244445801 name c_f: item 0.5098080039024353 item 0.5046209692955017 item 0.5098080039024353 item 0.5046209692955017 name d_f: item 0.3927299678325653 item 0.32752200961112976 item 0.3927299678325653 item 0.32752200961112976 name a_ofx: item 0.701727032661438 item 0.7358129620552063 item 0.701727032661438 item 0.7358129620552063 name b_ofx: item 0.6935760378837585 item 0.7328490018844604 item 0.6935760378837585 item 0.7328490018844604 name c_ofx: item 0.5942820310592651 item 0.5905770063400269 item 0.5942820310592651 item 0.5905770063400269 name d_ofx: item 0.47720399498939514 item 0.41347798705101013 item 0.47720399498939514 item 0.41347798705101013 name m0_ofx0: item 0.30306899547576904 item 0.2786159813404083 item 0.30306899547576904 item 0.2786159813404083 name m1_ofx1: item 0.2400839924812317 item 0.3001050055027008 item 0.2400839924812317 item 0.5224049687385559 name fx_ofx1: item 0.0711359977722168 item 0.07780499756336212 item 0.0711359977722168 item 0.07780499756336212 group alu: name a_f: item 0.6216989755630493 item 0.6454110145568848 item 0.6216989755630493 item 0.6454110145568848 name b_f: item 0.4512690305709839 item 0.46238401532173157 item 0.4512690305709839 item 0.46238401532173157 name d_f: item 0.43793100118637085 item 0.3467879891395569 item 0.43793100118637085 item 0.3467879891395569 name a0_fco: item 0.5564910173416138 item 0.6165120005607605 item 0.5564910173416138 item 0.6165120005607605 name b0_fco: item 0.6142889857292175 item 0.6891300082206726 item 0.6142889857292175 item 0.6891300082206726 name d0_fco: item 0.3060329854488373 item 0.2964000105857849 item 0.3060329854488373 item 0.2964000105857849 name fci_fco: item 0.032604001462459564 item 0.028899000957608223 item 0.032604001462459564 item 0.028899000957608223 name fci_f0: item 0.37049999833106995 item 0.33344998955726624 item 0.37049999833106995 item 0.33344998955726624 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2439124882221222 item 0.23835499584674835 group dff: name di_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clksetneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name di_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_qpos: item 0.18463249504566193 item 0.34641748666763306 item 0.18463249504566193 item 0.34641748666763306 name clk_qneg: item 0.19265998899936676 item 0.3575325012207031 item 0.19265998899936676 item 0.3575325012207031 name lsr_q: item 0.8947575092315674 item 1.1738674640655518 item 0.8947575092315674 item 1.1738674640655518 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 name clkb_dob: item 0.1309099942445755 item 0.14264249801635742 item 0.1309099942445755 item 0.14264249801635742 name clkb_do: item 0.21550749242305756 item 0.16363748908042908 item 0.21550749242305756 item 0.16363748908042908 name clk_do: item 0.17722249031066895 item 0.16301998496055603 item 0.17722249031066895 item 0.16301998496055603 name clka_reseta_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_ocea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_cea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_wrea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_dia_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ada_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_dia_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_ceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_dib_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_blkset_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_adb_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_oce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 group fanout: name X0Fan: item 0.15190500020980835 item 0.204701229929924 item 0.15190500020980835 item 0.204701229929924 name X1Fan: item 0.04538624733686447 item 0.2185949832201004 item 0.04538624733686447 item 0.2185949832201004 name SX1Fan: item 0.0111149987205863 item 0.04723874852061272 item 0.0111149987205863 item 0.04723874852061272 name X2Fan: item 0.1333799958229065 item 0.13152749836444855 item 0.1333799958229065 item 0.13152749836444855 name X8Fan: item 0.06576374918222427 item 0.10188749432563782 item 0.06576374918222427 item 0.10188749432563782 name FFan: item 0.0546487458050251 item 0.15097874402999878 item 0.0546487458050251 item 0.15097874402999878 name QFan: item 0.03149250149726868 item 0.08614125102758408 item 0.03149250149726868 item 0.08614125102758408 name OFFan: item 0.04723874852061272 item 0.09632999449968338 item 0.04723874852061272 item 0.09632999449968338 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13577944040298462 item 0.13853171467781067 item 0.13577944040298462 item 0.13853171467781067 name PIO_CENT_PCLK: item 0.13853171467781067[ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.06513743102550507 item 0.07706399261951447 item 0.06513743102550507 item 0.07706399261951447 name CIB_CENT_SCLK: item 0.1403665691614151 item 0.15504543483257294 item 0.1403665691614151 item 0.15504543483257294 name PIO_CENT_SCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_SCLK: item 0.13623812794685364 item 0.14770600199699402 item 0.13623812794685364 item 0.14770600199699402 name SPINE_TAP_SCLK_0: item 0.10871528834104538 item 0.10779786109924316 item 0.10871528834104538 item 0.10779786109924316 name SPINE_TAP_SCLK_1: item 0.14908212423324585 item 0.12614642083644867 item 0.14908212423324585 item 0.12614642083644867 name TAP_BRANCH_SCLK: item 0.14816471934318542 item 0.14403629302978516 item 0.14816471934318542 item 0.14403629302978516 name BRANCH_SCLK: item 0.07752271741628647 item 0.08302728831768036 item 0.07752271741628647 item 0.08302728831768036 name GSRREC_SET: item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 name GSRREC_HLD: item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 name GSR_MPW: item 3.3330180644989014 item 3.3376049995422363 item 3.3330180644989014 item 3.3376049995422363 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.04631249979138374 item 0.05557499825954437 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.08336249738931656 item 0.09262499958276749 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.12041249871253967 item 0.1296750009059906 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.1574624925851822 item 0.16672499477863312 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.1945124864578247 item 0.20377498865127563 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.23156249523162842 item 0.24082499742507935 item 0.23156249523162842 group wire: name X0: item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 name FX1: item 0.2823210060596466 item 0.19265998899936676 item 0.2823210060596466 item 0.19265998899936676 name X2: item 0.22822800278663635 item 0.3075149953365326 item 0.22822800278663635 item 0.3075149953365326 name X8: item 0.27268800139427185 item 0.40088099241256714 item 0.27268800139427185 item 0.40088099241256714 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NS-4.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NS-4 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc device GW1NS-4: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.5379660129547119 item 0.5424119830131531 item 0.7602660059928894 item 0.7647119760513306 name b_f: item 0.5364840030670166 item 0.5416709780693054 item 0.786201000213623 item 0.8143589496612549 name c_f: item 0.4119960069656372 item 0.4460819959640503 item 0.5942819714546204 item 0.6091020107269287 name d_f: item 0.28528499603271484 item 0.2756519913673401 item 0.46312499046325684 item 0.4638659954071045 name a_ofx: item 0.610584020614624 item 0.608361005783081 item 0.8714159727096558 item 0.875120997428894 name b_ofx: item 0.6091019511222839 item 0.6076200008392334 item 0.8973509669303894 item 0.9247680306434631 name c_ofx: item 0.48461398482322693 item 0.5120309591293335 item 0.7054319977760315 item 0.7195110321044922 name d_ofx: item 0.35790297389030457 item 0.34160101413726807 item 0.5742749571800232 item 0.5742749571800232 name m0_ofx0: item 0.24971701204776764 item 0.24749399721622467 item 0.3497520089149475 item 0.32381701469421387 name m1_ofx1: item 0.20377500355243683 item 0.24601198732852936 item 0.2875080108642578 item 0.37198197841644287 name fx_ofx1: item 0.04890599846839905 item 0.06965399533510208 item 0.13115699589252472 item 0.1207830011844635 group alu: name a_f: item 0.5364840030670166 item 0.5201820135116577 item 0.7365540266036987 item 0.7284029722213745 name b_f: item 0.39865797758102417 item 0.3830970227718353 item 0.5394479632377625 item 0.5209230184555054 name d_f: item 0.38606101274490356 item 0.291953980922699 item 0.5194410085678101 item 0.3993989825248718 name a0_fco: item 0.4408950209617615 item 0.5201820135116577 item 0.7083959579467773 item 0.7098780274391174 name b0_fco: item 0.4994340240955353 item 0.5253689885139465 item 0.7669349908828735 item 0.7743449807167053 name d0_fco: item 0.2237819880247116 item 0.24823500216007233 item 0.40755000710487366 item 0.3341909945011139 name fci_fco: item 0.022970998659729958 item 0.02519400045275688 item 0.04001400247216225 item 0.04223699867725372 name fci_f0: item 0.3571619987487793 item 0.31566599011421204 item 0.3912479877471924 item 0.4171830117702484 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2908424735069275 item 0.2896074950695038 group dff: name di_clksetpos: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clksetneg: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_qpos: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name clk_qneg: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name lsr_q: item 0.6483749747276306 item 0.9262499809265137 item 1.2683448791503906 item 1.3782600164413452 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_dob: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clk_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clka_reseta_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_ocea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_cea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_wrea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_dia_set: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ada_set: item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 name clka_blksel_set: item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_dia_hold: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_hold: item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_oceb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_ceb_set: item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_dib_set: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_blkset_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_adb_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_ce_set: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_oce_set: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_di_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ce_hold: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_blksel_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_reset_set_syn: item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 name clk_reset_hold_syn: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clka_reseta_set_syn: item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 name clka_reseta_hold_syn: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 group fanout: name X0Fan: item 0.10127000510692596 item 0.13646748661994934 item 0.11979499459266663 item 0.15993249416351318 name X1Fan: item 0.03025749884545803 item 0.14572998881340027 item 0.08212749660015106 item 0.23465000092983246 name SX1Fan: item 0.007409999612718821 item 0.03149249777197838 item 0.054957497864961624 item 0.07162999361753464 name X2Fan: item 0.0889199897646904 item 0.0876849964261055 item 0.10867999494075775 item 0.1080624982714653 name X8Fan: item 0.04384249821305275 item 0.06792499870061874 item 0.05310500040650368 item 0.09509499371051788 name FFan: item 0.03643249720335007 item 0.10065249353647232 item 0.0444599948823452 item 0.1259699910879135 name QFan: item 0.0209950003772974 item 0.05742749944329262 item 0.027787500992417336 item 0.07286499440670013 name OFFan: item 0.03149249777197838 item 0.06421999633312225 item 0.04631249979138374 item 0.07842249423265457 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_PCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.05261100083589554 item 0.0622439980506897 item 0.0674309954047203 item 0.07558199763298035 name CIB_CENT_SCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_SCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_SCLK: item 0.5320379734039307 item 0.5312970280647278 item 0.7180289626121521 item 0.7291439771652222 name SPINE_TAP_SCLK_0: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name SPINE_TAP_SCLK_1: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name TAP_BRANCH_SCLK: item 0.05112899839878082 item 0.05483400076627731 item 0.06965399533510208 item 0.07558199763298035 name BRANCH_SCLK: item 0.04223699867725372 item 0.041496001183986664 item 0.059279996901750565 item 0.058539003133773804 name GSRREC_SET: item 0.040754999965429306 item 0.040754999965429306 item 0.04890599846839905 item 0.04890599846839905 name GSRREC_HLD: item 0.037050001323223114 item 0.037050001323223114 item 0.044460002332925797 item 0.044460002332925797 name GSR_MPW: item 2.6920528411865234 item 2.695758104324341 item 3.230463743209839 item 3.234909772872925 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.07132124900817871 item 0.08150999248027802 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.11207624524831772 item 0.12226499617099762 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.15283124148845673 item 0.16301998496055603 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.19358624517917633 item 0.20377500355243683 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.23434126377105713 item 0.24452999234199524 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.27509623765945435 item 0.28528499603271484 item 0.23156249523162842 group wire: name X0: item 0.16968899965286255 item 0.18006299436092377 item 0.24230699241161346 item 0.2378610074520111 name FX1: item 0.22526399791240692 item 0.16376100480556488 item 0.3060329854488373 item 0.22452300786972046 name X2: item 0.19265998899936676 item 0.26009100675582886 item 0.26898300647735596 item 0.355679988861084 name X8: item 0.20747999846935272 item 0.30825600028038025 item 0.305292010307312 item 0.4764629900455475 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.6172530055046082 item 0.6498569846153259 item 0.6172530055046082 item 0.6498569846153259 name b_f: item 0.6091020107269287 item 0.6468930244445801 item 0.6091020107269287 item 0.6468930244445801 name c_f: item 0.5098080039024353 item 0.5046209692955017 item 0.5098080039024353 item 0.5046209692955017 name d_f: item 0.3927299678325653 item 0.32752200961112976 item 0.3927299678325653 item 0.32752200961112976 name a_ofx: item 0.701727032661438 item 0.7358129620552063 item 0.701727032661438 item 0.7358129620552063 name b_ofx: item 0.6935760378837585 item 0.7328490018844604 item 0.6935760378837585 item 0.7328490018844604 name c_ofx: item 0.5942820310592651 item 0.5905770063400269 item 0.5942820310592651 item 0.5905770063400269 name d_ofx: item 0.47720399498939514 item 0.41347798705101013 item 0.47720399498939514 item 0.41347798705101013 name m0_ofx0: item 0.30306899547576904 item 0.2786159813404083 item 0.30306899547576904 item 0.2786159813404083 name m1_ofx1: item 0.2400839924812317 item 0.3001050055027008 item 0.2400839924812317 item 0.5224049687385559 name fx_ofx1: item 0.0711359977722168 item 0.07780499756336212 item 0.0711359977722168 item 0.07780499756336212 group alu: name a_f: item 0.6216989755630493 item 0.6454110145568848 item 0.6216989755630493 item 0.6454110145568848 name b_f: item 0.4512690305709839 item 0.46238401532173157 item 0.4512690305709839 item 0.46238401532173157 name d_f: item 0.43793100118637085 item 0.3467879891395569 item 0.43793100118637085 item 0.3467879891395569 name a0_fco: item 0.5564910173416138 item 0.6165120005607605 item 0.5564910173416138 item 0.6165120005607605 name b0_fco: item 0.6142889857292175 item 0.6891300082206726 item 0.6142889857292175 item 0.6891300082206726 name d0_fco: item 0.3060329854488373 item 0.2964000105857849 item 0.3060329854488373 item 0.2964000105857849 name fci_fco: item 0.032604001462459564 item 0.028899000957608223 item 0.032604001462459564 item 0.028899000957608223 name fci_f0: item 0.37049999833106995 item 0.33344998955726624 item 0.37049999833106995 item 0.33344998955726624 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2439124882221222 item 0.23835499584674835 group dff: name di_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clksetneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name di_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_qpos: item 0.18463249504566193 item 0.34641748666763306 item 0.18463249504566193 item 0.34641748666763306 name clk_qneg: item 0.19265998899936676 item 0.3575325012207031 item 0.19265998899936676 item 0.3575325012207031 name lsr_q: item 0.8947575092315674 item 1.1738674640655518 item 0.8947575092315674 item 1.1738674640655518 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 name clkb_dob: item 0.1309099942445755 item 0.14264249801635742 item 0.1309099942445755 item 0.14264249801635742 name clkb_do: item 0.21550749242305756 item 0.16363748908042908 item 0.21550749242305756 item 0.16363748908042908 name clk_do: item 0.17722249031066895 item 0.16301998496055603 item 0.17722249031066895 item 0.16301998496055603 name clka_reseta_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_ocea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_cea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_wrea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_dia_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ada_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_dia_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_ceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_dib_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_blkset_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_adb_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_oce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 group fanout: name X0Fan: item 0.15190500020980835 item 0.204701229929924 item 0.15190500020980835 item 0.204701229929924 name X1Fan: item 0.04538624733686447 item 0.2185949832201004 item 0.04538624733686447 item 0.2185949832201004 name SX1Fan: item 0.0111149987205863 item 0.04723874852061272 item 0.0111149987205863 item 0.04723874852061272 name X2Fan: item 0.1333799958229065 item 0.13152749836444855 item 0.1333799958229065 item 0.13152749836444855 name X8Fan: item 0.06576374918222427 item 0.10188749432563782 item 0.06576374918222427 item 0.10188749432563782 name FFan: item 0.0546487458050251 item 0.15097874402999878 item 0.0546487458050251 item 0.15097874402999878 name QFan: item 0.03149250149726868 item 0.08614125102758408 item 0.03149250149726868 item 0.08614125102758408 name OFFan: item 0.04723874852061272 item 0.09632999449968338 item 0.04723874852061272 item 0.09632999449968338 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13577944040298462 item 0.13853171467781067 item 0.13577944040298462 item 0.13853171467781067 name PIO_CENT_PCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.06513743102550507 item 0.07706399261951447 item 0.06513743102550507 item 0.07706399261951447 name CIB_CENT_SCLK: item 0.1403665691614151 item 0.15504543483257294 item 0.1403665691614151 item 0.15504543483257294 name PIO_CENT_SCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_SCLK: item 0.13623812794685364 item 0.14770600199699402 item 0.13623812794685364 item 0.14770600199699402 name SPINE_TAP_SCLK_0: item 0.10871528834104538 item 0.10779786109924316 item 0.10871528834104538 item 0.10779786109924316 name SPINE_TAP_SCLK_1: item 0.14908212423324585 item 0.12614642083644867 item 0.14908212423324585 item 0.12614642083644867 name TAP_BRANCH_SCLK: item 0.14816471934318542 item 0.14403629302978516 item 0.14816471934318542 item 0.14403629302978516 name BRANCH_SCLK: item 0.07752271741628647 item 0.08302728831768036 item 0.07752271741628647 item 0.08302728831768036 name GSRREC_SET: item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 name GSRREC_HLD: item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 name GSR_MPW: item 3.3330180644989014 item 3.3376049995422363 item 3.3330180644989014 item 3.3376049995422363 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.04631249979138374 item 0.05557499825954437 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.08336249738931656 item 0.09262499958276749 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.12041249871253967 item 0.1296750009059906 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.1574624925851822 item 0.16672499477863312 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.1945124864578247 item 0.20377498865127563 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.23156249523162842 item 0.24082499742507935 item 0.23156249523162842 group wire: name X0: item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 name FX1: item 0.2823210060596466 item 0.19265998899936676 item 0.2823210060596466 item 0.19265998899936676 name X2: item 0.22822800278663635 item 0.3075149953365326 item 0.22822800278663635 item 0.3075149953365326 name X8: item 0.27268800139427185 item 0.40088099241256714 item 0.27268800139427185 item 0.40088099241256714 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 6%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc device GW2A-18: speed C8/I7: group lut: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name c_f: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a_ofx: item 0.4440000057220459 item 0.3960000276565552 item 0.6540000438690186 item 0.6200000047683716 name b_ofx: item 0.45100000500679016 item 0.41600000858306885 item 0.675000011920929 item 0.6579999923706055 name c_ofx: item 0.3700000047683716 item 0.34200000762939453 item 0.5670000314712524 item 0.5559999942779541 name d_ofx: item 0.29499998688697815 item 0.2839999794960022 item 0.43199998140335083 item 0.4739999771118164 name m0_ofx0: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name m1_ofx1: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name fx_ofx1: item 0.05999999865889549 item 0.052000001072883606 item 0.10499999672174454 item 0.10300000011920929 group alu: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a0_fco: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b0_fco: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d0_fco: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name fci_fco: item 0.020999999716877937 item 0.02199999988079071 item 0.035100001841783524 item 0.03519999980926514 name fci_f0: item 0.23499999940395355 item 0.23100000619888306 item 0.4259999990463257 item 0.4699999988079071 group sram: name rad0_do: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name rad1_do: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name rad2_do: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name rad3_do: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12099999934434891 item 0.10700000077486038 item 0.13699999451637268 item 0.1420000046491623 name FX1: item 0.13600000739097595 item 0.11299999803304672 item 0.1679999977350235 item 0.15700000524520874 name X2: item 0.11900000274181366 item 0.1459999978542328 item 0.18000000715255737 item 0.2370000034570694 name X8: item 0.1469999998807907 item 0.18299999833106995 item 0.21299999952316284 item 0.26600000262260437 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C8/I7_LV: group lut: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name c_f: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a_ofx: item 0.5049999952316284 item 0.47099998593330383 item 0.5049999952316284 item 0.47099998593330383 name b_ofx: item 0.515999972820282 item 0.49300000071525574 item 0.515999972820282 item 0.49300000071525574 name c_ofx: item 0.4230000078678131 item 0.4099999964237213 item 0.4230000078678131 item 0.4099999964237213 name d_ofx: item 0.3319999873638153 item 0.3409999907016754 item 0.3319999873638153 item 0.3409999907016754 name m0_ofx0: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name m1_ofx1: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name fx_ofx1: item 0.21299999952316284 item 0.19900000095367432 item 0.21299999952316284 item 0.19900000095367432 group alu: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a0_fco: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b0_fco: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d0_fco: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name fci_fco: item 0.023000000044703484 item 0.024000000208616257 item 0.023000000044703484 item 0.024000000208616257 name fci_f0: item 0.2709999978542328 item 0.27399998903274536 item 0.2709999978542328 item 0.27399998903274536 group sram: name rad0_do: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name rad1_do: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name rad2_do: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name rad3_do: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12800000607967377 item 0.11800000071525574 item 0.12800000607967377 item 0.11800000071525574 name FX1: item 0.14900000393390656 item 0.12800000607967377 item 0.14900000393390656 item 0.12800000607967377 name X2: item 0.16200000047683716 item 0.18799999356269836 item 0.16200000047683716 item 0.18799999356269836 name X8: item 0.1720000058412552 item 0.21400000154972076 item 0.1720000058412552 item 0.21400000154972076 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name c_f: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a_ofx: item 0.5550000071525574 item 0.49500003457069397 item 0.8175000548362732 item 0.7749999761581421 name b_ofx: item 0.5637500286102295 item 0.5199999809265137 item 0.84375 item 0.8224999904632568 name c_ofx: item 0.4625000059604645 item 0.42750000953674316 item 0.7087500095367432 item 0.6949999928474426 name d_ofx: item 0.3687499761581421 item 0.35499995946884155 item 0.5399999618530273 item 0.5924999713897705 name m0_ofx0: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name m1_ofx1: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name fx_ofx1: item 0.07499999552965164 item 0.06499999761581421 item 0.13124999403953552 item 0.1287499964237213 group alu: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a0_fco: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b0_fco: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d0_fco: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name fci_fco: item 0.026249999180436134 item 0.027499999850988388 item 0.04387500137090683 item 0.04399999976158142 name fci_f0: item 0.29374998807907104 item 0.2887499928474426 item 0.5325000286102295 item 0.5874999761581421 group sram: name rad0_do: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name rad1_do: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name rad2_do: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name rad3_do: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666805744171 item 0.3216666579246521 item 0.39249998331069946 item 0.3908333480358124 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15125000476837158 item 0.13375000655651093 item 0.17124998569488525 item 0.17750000953674316 name FX1: item 0.17000001668930054 item 0.14124999940395355 item 0.20999999344348907 item 0.19625000655651093 name X2: item 0.14875000715255737 item 0.18250000476837158 item 0.22500000894069672 item 0.29625001549720764 name X8: item 0.1837500035762787 item 0.22874999046325684 item 0.26625001430511475 item 0.33250001072883606 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.16583332419395447 item 0.16750000417232513 item 0.17249999940395355 item 0.17750000953674316 name X0CLK: item 0.17916665971279144 item 0.18416666984558105 item 0.2083333432674408 item 0.21416665613651276 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name c_f: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a_ofx: item 0.6060000061988831 item 0.5652000308036804 item 0.6060000061988831 item 0.5652000308036804 name b_ofx: item 0.6191999912261963 item 0.5916000008583069 item 0.6191999912261963 item 0.5916000008583069 name c_ofx: item 0.5076000094413757 item 0.492000013589859 item 0.5076000094413757 item 0.492000013589859 name d_ofx: item 0.3984000086784363 item 0.4092000126838684 item 0.3984000086784363 item 0.4092000126838684 name m0_ofx0: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name m1_ofx1: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name fx_ofx1: item 0.2556000053882599 item 0.23880000412464142 item 0.2556000053882599 item 0.23880000412464142 group alu: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a0_fco: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b0_fco: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d0_fco: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name fci_fco: item 0.0276000015437603 item 0.028800001367926598 item 0.0276000015437603 item 0.028800001367926598 name fci_f0: item 0.32520002126693726 item 0.3287999927997589 item 0.32520002126693726 item 0.3287999927997589 group sram: name rad0_do: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name rad1_do: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name rad2_do: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name rad3_do: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name clk_di_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_di_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wre_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wre_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad0_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad0_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad1_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad1_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad2_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad2_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad3_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad3_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_do: item 0.3160000443458557 item 0.30880001187324524 item 0.376800000667572 item 0.3752000331878662 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15360000729560852 item 0.14160001277923584 item 0.15360000729560852 item 0.14160001277923584 name FX1: item 0.17880001664161682 item 0.15360000729560852 item 0.17880001664161682 item 0.15360000729560852 name X2: item 0.19440001249313354 item 0.225600004196167 item 0.19440001249313354 item 0.225600004196167 name X8: item 0.20640002191066742 item 0.2568000257015228 item 0.20640002191066742 item 0.2568000257015228 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.15919999778270721 item 0.1608000099658966 item 0.1656000018119812 item 0.170400008559227 name X0CLK: item 0.1720000058412552 item 0.17680001258850098 item 0.20000001788139343 item 0.20560000836849213 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6: group lut: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name c_f: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a_ofx: item 0.6393600106239319 item 0.5702400803565979 item 0.9417601227760315 item 0.8928000330924988 name b_ofx: item 0.6494400501251221 item 0.5990400314331055 item 0.9720000624656677 item 0.9475200176239014 name c_ofx: item 0.532800018787384 item 0.4924800395965576 item 0.8164801001548767 item 0.8006400465965271 name d_ofx: item 0.42480000853538513 item 0.4089599847793579 item 0.6220799684524536 item 0.6825599670410156 name m0_ofx0: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name m1_ofx1: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name fx_ofx1: item 0.08640000224113464 item 0.07488000392913818 item 0.15119999647140503 item 0.1483200043439865 group alu: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a0_fco: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b0_fco: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d0_fco: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name fci_fco: item 0.030240001156926155 item 0.03168000280857086 item 0.05054400488734245 item 0.0506880022585392 name fci_f0: item 0.3384000062942505 item 0.33264002203941345 item 0.6134400367736816 item 0.676800012588501 group sram: name rad0_do: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name rad1_do: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name rad2_do: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name rad3_do: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.17424000799655914 item 0.15408000349998474 item 0.19728000462055206 item 0.20448002219200134 name FX1: item 0.1958400160074234 item 0.16272000968456268 item 0.24192000925540924 item 0.2260800153017044 name X2: item 0.17136001586914062 item 0.21024000644683838 item 0.25920000672340393 item 0.3412800133228302 name X8: item 0.21168000996112823 item 0.2635200023651123 item 0.3067200183868408 item 0.38304001092910767 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6_LV: group lut: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name c_f: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a_ofx: item 0.7272000312805176 item 0.6782400012016296 item 0.7272000312805176 item 0.6782400012016296 name b_ofx: item 0.7430399656295776 item 0.7099200487136841 item 0.7430399656295776 item 0.7099200487136841 name c_ofx: item 0.6091200113296509 item 0.5904000401496887 item 0.6091200113296509 item 0.5904000401496887 name d_ofx: item 0.47808000445365906 item 0.4910399913787842 item 0.47808000445365906 item 0.4910399913787842 name m0_ofx0: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name m1_ofx1: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name fx_ofx1: item 0.3067200183868408 item 0.2865599989891052 item 0.3067200183868408 item 0.2865599989891052 group alu: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a0_fco: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b0_fco: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d0_fco: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name fci_fco: item 0.03312000259757042 item 0.03456000238656998 item 0.03312000259757042 item 0.03456000238656998 name fci_f0: item 0.39024001359939575 item 0.3945600092411041 item 0.39024001359939575 item 0.3945600092411041 group sram: name rad0_do: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name rad1_do: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name rad2_do: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name rad3_do: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.18432001769542694 item 0.16992001235485077 item 0.18432001769542694 item 0.16992001235485077 name FX1: item 0.21456001698970795 item 0.18432001769542694 item 0.21456001698970795 item 0.18432001769542694 name X2: item 0.2332800030708313 item 0.2707200050354004 item 0.2332800030708313 item 0.2707200050354004 name X8: item 0.24768002331256866 item 0.3081600069999695 item 0.24768002331256866 item 0.3081600069999695 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8: group lut: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name c_f: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a_ofx: item 0.3827280104160309 item 0.34135201573371887 item 0.5637480020523071 item 0.5344399809837341 name b_ofx: item 0.3887619972229004 item 0.3585920035839081 item 0.581849992275238 item 0.5671960115432739 name c_ofx: item 0.31894001364707947 item 0.29480400681495667 item 0.4887540340423584 item 0.4792719781398773 name d_ofx: item 0.25428998470306396 item 0.24480797350406647 item 0.3723839819431305 item 0.4085879623889923 name m0_ofx0: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name m1_ofx1: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name fx_ofx1: item 0.05171999707818031 item 0.04482400044798851 item 0.09050999581813812 item 0.08878599852323532 group alu: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a0_fco: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b0_fco: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d0_fco: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name fci_fco: item 0.018101999536156654 item 0.018964000046253204 item 0.030256200581789017 item 0.030342400074005127 name fci_f0: item 0.20256999135017395 item 0.19912199676036835 item 0.3672119975090027 item 0.4051399827003479 group sram: name rad0_do: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name rad1_do: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name rad2_do: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name rad3_do: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name clk_di_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wre_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad0_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad0_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad1_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad1_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad2_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad2_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad3_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad3_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_do: item 0.2269933521747589 item 0.22182133793830872 item 0.2706679701805115 item 0.2695186734199524 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.10430199652910233 item 0.09223400056362152 item 0.11809399724006653 item 0.12240400165319443 name FX1: item 0.11723200231790543 item 0.09740599989891052 item 0.14481599628925323 item 0.13533399999141693 name X2: item 0.10257799923419952 item 0.12585200369358063 item 0.15516000986099243 item 0.20429399609565735 name X8: item 0.12671399116516113 item 0.15774600207805634 item 0.18360599875450134 item 0.22929200530052185 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11435866355895996 item 0.11550800502300262 item 0.11895599216222763 item 0.12240400165319443 name X0CLK: item 0.1235533282160759 item 0.1270013302564621 item 0.14366666972637177 item 0.1476893275976181 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8_LV: group lut: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name c_f: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a_ofx: item 0.4343000054359436 item 0.4050599932670593 item 0.4343000054359436 item 0.4050599932670593 name b_ofx: item 0.4437599778175354 item 0.4239799976348877 item 0.4437599778175354 item 0.4239799976348877 name c_ofx: item 0.36378002166748047 item 0.35260000824928284 item 0.36378002166748047 item 0.35260000824928284 name d_ofx: item 0.2855199873447418 item 0.29326000809669495 item 0.2855199873447418 item 0.29326000809669495 name m0_ofx0: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name m1_ofx1: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name fx_ofx1: item 0.18318000435829163 item 0.17114000022411346 item 0.18318000435829163 item 0.17114000022411346 group alu: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a0_fco: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b0_fco: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d0_fco: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name fci_fco: item 0.019780000671744347 item 0.020640000700950623 item 0.019780000671744347 item 0.020640000700950623 name fci_f0: item 0.23306000232696533 item 0.23563998937606812 item 0.23306000232696533 item 0.23563998937606812 group sram: name rad0_do: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name rad1_do: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name rad2_do: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name rad3_do: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name clk_di_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_di_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wre_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wre_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad0_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad0_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad1_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad1_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad2_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad2_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad3_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad3_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_do: item 0.22646668553352356 item 0.2213066816329956 item 0.27003997564315796 item 0.26889336109161377 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526[ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.11008000373840332 item 0.10147999972105026 item 0.11008000373840332 item 0.10147999972105026 name FX1: item 0.12814000248908997 item 0.11008000373840332 item 0.12814000248908997 item 0.11008000373840332 name X2: item 0.1393200010061264 item 0.16167999804019928 item 0.1393200010061264 item 0.16167999804019928 name X8: item 0.14792001247406006 item 0.18404000997543335 item 0.14792001247406006 item 0.18404000997543335 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11409333348274231 item 0.11524000763893127 item 0.11868000030517578 item 0.12212000787258148 name X0CLK: item 0.12326666712760925 item 0.12670667469501495 item 0.1433333456516266 item 0.14734666049480438 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin [ 8%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18C.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18C -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 10%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 10%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc device GW2A-18C: speed C8/I7: group lut: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name c_f: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a_ofx: item 0.4440000057220459 item 0.3960000276565552 item 0.6540000438690186 item 0.6200000047683716 name b_ofx: item 0.45100000500679016 item 0.41600000858306885 item 0.675000011920929 item 0.6579999923706055 name c_ofx: item 0.3700000047683716 item 0.34200000762939453 item 0.5670000314712524 item 0.5559999942779541 name d_ofx: item 0.29499998688697815 item 0.2839999794960022 item 0.43199998140335083 item 0.4739999771118164 name m0_ofx0: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name m1_ofx1: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name fx_ofx1: item 0.05999999865889549 item 0.052000001072883606 item 0.10499999672174454 item 0.10300000011920929 group alu: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a0_fco: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b0_fco: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d0_fco: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name fci_fco: item 0.020999999716877937 item 0.02199999988079071 item 0.035100001841783524 item 0.03519999980926514 name fci_f0: item 0.23499999940395355 item 0.23100000619888306 item 0.4259999990463257 item 0.4699999988079071 group sram: name rad0_do: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name rad1_do: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name rad2_do: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name rad3_do: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12099999934434891 item 0.10700000077486038 item 0.13699999451637268 item 0.1420000046491623 name FX1: item 0.13600000739097595 item 0.11299999803304672 item 0.1679999977350235 item 0.15700000524520874 name X2: item 0.11900000274181366 item 0.1459999978542328 item 0.18000000715255737 item 0.2370000034570694 name X8: item 0.1469999998807907 item 0.18299999833106995 item 0.21299999952316284 item 0.26600000262260437 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C8/I7_LV: group lut: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name c_f: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a_ofx: item 0.5049999952316284 item 0.47099998593330383 item 0.5049999952316284 item 0.47099998593330383 name b_ofx: item 0.515999972820282 item 0.49300000071525574 item 0.515999972820282 item 0.49300000071525574 name c_ofx: item 0.4230000078678131 item 0.4099999964237213 item 0.4230000078678131 item 0.4099999964237213 name d_ofx: item 0.3319999873638153 item 0.3409999907016754 item 0.3319999873638153 item 0.3409999907016754 name m0_ofx0: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name m1_ofx1: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name fx_ofx1: item 0.21299999952316284 item 0.19900000095367432 item 0.21299999952316284 item 0.19900000095367432 group alu: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a0_fco: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b0_fco: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d0_fco: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name fci_fco: item 0.023000000044703484 item 0.024000000208616257 item 0.023000000044703484 item 0.024000000208616257 name fci_f0: item 0.2709999978542328 item 0.27399998903274536 item 0.2709999978542328 item 0.27399998903274536 group sram: name rad0_do: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name rad1_do: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name rad2_do: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name rad3_do: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12800000607967377 item 0.11800000071525574 item 0.12800000607967377 item 0.11800000071525574 name FX1: item 0.14900000393390656 item 0.12800000607967377 item 0.14900000393390656 item 0.12800000607967377 name X2: item 0.16200000047683716 item 0.18799999356269836 item 0.16200000047683716 item 0.18799999356269836 name X8: item 0.1720000058412552 item 0.21400000154972076 item 0.1720000058412552 item 0.21400000154972076 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name c_f: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a_ofx: item 0.5550000071525574 item 0.49500003457069397 item 0.8175000548362732 item 0.7749999761581421 name b_ofx: item 0.5637500286102295 item 0.5199999809265137 item 0.84375 item 0.8224999904632568 name c_ofx: item 0.4625000059604645 item 0.42750000953674316 item 0.7087500095367432 item 0.6949999928474426 name d_ofx: item 0.3687499761581421 item 0.35499995946884155 item 0.5399999618530273 item 0.5924999713897705 name m0_ofx0: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name m1_ofx1: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name fx_ofx1: item 0.07499999552965164 item 0.06499999761581421 item 0.13124999403953552 item 0.1287499964237213 group alu: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a0_fco: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b0_fco: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d0_fco: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name fci_fco: item 0.026249999180436134 item 0.027499999850988388 item 0.04387500137090683 item 0.04399999976158142 name fci_f0: item 0.29374998807907104 item 0.2887499928474426 item 0.5325000286102295 item 0.5874999761581421 group sram: name rad0_do: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name rad1_do: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name rad2_do: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name rad3_do: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666805744171 item 0.3216666579246521 item 0.39249998331069946 item 0.3908333480358124 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15125000476837158 item 0.13375000655651093 item 0.17124998569488525 item 0.17750000953674316 name FX1: item 0.17000001668930054 item 0.14124999940395355 item 0.20999999344348907 item 0.19625000655651093 name X2: item 0.14875000715255737 item 0.18250000476837158 item 0.22500000894069672 item 0.29625001549720764 name X8: item 0.1837500035762787 item 0.22874999046325684 item 0.26625001430511475 item 0.33250001072883606 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.16583332419395447 item 0.16750000417232513 item 0.17249999940395355 item 0.17750000953674316 name X0CLK: item 0.17916665971279144 item 0.18416666984558105 item 0.2083333432674408 item 0.21416665613651276 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name c_f: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a_ofx: item 0.6060000061988831 item 0.5652000308036804 item 0.6060000061988831 item 0.5652000308036804 name b_ofx: item 0.6191999912261963 item 0.5916000008583069 item 0.6191999912261963 item 0.5916000008583069 name c_ofx: item 0.5076000094413757 item 0.492000013589859 item 0.5076000094413757 item 0.492000013589859 name d_ofx: item 0.3984000086784363 item 0.4092000126838684 item 0.3984000086784363 item 0.4092000126838684 name m0_ofx0: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name m1_ofx1: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name fx_ofx1: item 0.2556000053882599 item 0.23880000412464142 item 0.2556000053882599 item 0.23880000412464142 group alu: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a0_fco: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b0_fco: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d0_fco: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name fci_fco: item 0.0276000015437603 item 0.028800001367926598 item 0.0276000015437603 item 0.028800001367926598 name fci_f0: item 0.32520002126693726 item 0.3287999927997589 item 0.32520002126693726 item 0.3287999927997589 group sram: name rad0_do: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name rad1_do: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name rad2_do: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name rad3_do: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name clk_di_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_di_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wre_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wre_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad0_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad0_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad1_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad1_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad2_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad2_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad3_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad3_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_do: item 0.3160000443458557 item 0.30880001187324524 item 0.376800000667572 item 0.3752000331878662 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15360000729560852 item 0.14160001277923584 item 0.15360000729560852 item 0.14160001277923584 name FX1: item 0.17880001664161682 item 0.15360000729560852 item 0.17880001664161682 item 0.15360000729560852 name X2: item 0.19440001249313354 item 0.225600004196167 item 0.19440001249313354 item 0.225600004196167 name X8: item 0.20640002191066742 item 0.2568000257015228 item 0.20640002191066742 item 0.2568000257015228 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.15919999778270721 item 0.1608000099658966 item 0.1656000018119812 item 0.170400008559227 name X0CLK: item 0.1720000058412552 item 0.17680001258850098 item 0.20000001788139343 item 0.20560000836849213 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6: group lut: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name c_f: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a_ofx: item 0.6393600106239319 item 0.5702400803565979 item 0.9417601227760315 item 0.8928000330924988 name b_ofx: item 0.6494400501251221 item 0.5990400314331055 item 0.9720000624656677 item 0.9475200176239014 name c_ofx: item 0.532800018787384 item 0.4924800395965576 item 0.8164801001548767 item 0.8006400465965271 name d_ofx: item 0.42480000853538513 item 0.4089599847793579 item 0.6220799684524536 item 0.6825599670410156 name m0_ofx0: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name m1_ofx1: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name fx_ofx1: item 0.08640000224113464 item 0.07488000392913818 item 0.15119999647140503 item 0.1483200043439865 group alu: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a0_fco: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b0_fco: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d0_fco: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name fci_fco: item 0.030240001156926155 item 0.03168000280857086 item 0.05054400488734245 item 0.0506880022585392 name fci_f0: item 0.3384000062942505 item 0.33264002203941345 item 0.6134400367736816 item 0.676800012588501 group sram: name rad0_do: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name rad1_do: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name rad2_do: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name rad3_do: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.17424000799655914 item 0.15408000349998474 item 0.19728000462055206 item 0.20448002219200134 name FX1: item 0.1958400160074234 item 0.16272000968456268 item 0.24192000925540924 item 0.2260800153017044 name X2: item 0.17136001586914062 item 0.21024000644683838 item 0.25920000672340393 item 0.3412800133228302 name X8: item 0.21168000996112823 item 0.2635200023651123 item 0.3067200183868408 item 0.38304001092910767 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6_LV: group lut: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name c_f: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a_ofx: item 0.7272000312805176 item 0.6782400012016296 item 0.7272000312805176 item 0.6782400012016296 name b_ofx: item 0.7430399656295776 item 0.7099200487136841 item 0.7430399656295776 item 0.7099200487136841 name c_ofx: item 0.6091200113296509 item 0.5904000401496887 item 0.6091200113296509 item 0.5904000401496887 name d_ofx: item 0.47808000445365906 item 0.4910399913787842 item 0.47808000445365906 item 0.4910399913787842 name m0_ofx0: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name m1_ofx1: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name fx_ofx1: item 0.3067200183868408 item 0.2865599989891052 item 0.3067200183868408 item 0.2865599989891052 group alu: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a0_fco: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b0_fco: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d0_fco: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name fci_fco: item 0.03312000259757042 item 0.03456000238656998 item 0.03312000259757042 item 0.03456000238656998 name fci_f0: item 0.39024001359939575 item 0.3945600092411041 item 0.39024001359939575 item 0.3945600092411041 group sram: name rad0_do: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name rad1_do: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name rad2_do: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name rad3_do: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.18432001769542694 item 0.16992001235485077 item 0.18432001769542694 item 0.16992001235485077 name FX1: item 0.21456001698970795 item 0.18432001769542694 item 0.21456001698970795 item 0.18432001769542694 name X2: item 0.2332800030708313 item 0.2707200050354004 item 0.2332800030708313 item 0.2707200050354004 name X8: item 0.24768002331256866 item 0.3081600069999695 item 0.24768002331256866 item 0.3081600069999695 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8: group lut: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name c_f: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a_ofx: item 0.3827280104160309 item 0.34135201573371887 item 0.5637480020523071 item 0.5344399809837341 name b_ofx: item 0.3887619972229004 item 0.3585920035839081 item 0.581849992275238 item 0.5671960115432739 name c_ofx: item 0.31894001364707947 item 0.29480400681495667 item 0.4887540340423584 item 0.4792719781398773 name d_ofx: item 0.25428998470306396 item 0.24480797350406647 item 0.3723839819431305 item 0.4085879623889923 name m0_ofx0: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name m1_ofx1: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name fx_ofx1: item 0.05171999707818031 item 0.04482400044798851 item 0.09050999581813812 item 0.08878599852323532 group alu: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a0_fco: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b0_fco: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d0_fco: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name fci_fco: item 0.018101999536156654 item 0.018964000046253204 item 0.030256200581789017 item 0.030342400074005127 name fci_f0: item 0.20256999135017395 item 0.19912199676036835 item 0.3672119975090027 item 0.4051399827003479 group sram: name rad0_do: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name rad1_do: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name rad2_do: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name rad3_do: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name clk_di_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wre_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad0_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad0_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad1_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad1_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad2_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad2_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad3_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad3_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_do: item 0.2269933521747589 item 0.22182133793830872 item 0.2706679701805115 item 0.2695186734199524 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.10430199652910233 item 0.09223400056362152 item 0.11809399724006653 item 0.12240400165319443 name FX1: item 0.11723200231790543 item 0.09740599989891052 item 0.14481599628925323 item 0.13533399999141693 name X2: item 0.10257799923419952 item 0.12585200369358063 item 0.15516000986099243 item 0.20429399609565735 name X8: item 0.12671399116516113 item 0.15774600207805634 item 0.18360599875450134 item 0.22929200530052185 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11435866355895996 item 0.11550800502300262 item 0.11895599216222763 item 0.12240400165319443 name X0CLK: item 0.1235533282160759 item 0.1270013302564621 item 0.14366666972637177 item 0.1476893275976181 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8_LV: group lut: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name c_f: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a_ofx: item 0.4343000054359436 item 0.4050599932670593 item 0.4343000054359436 item 0.4050599932670593 name b_ofx: item 0.4437599778175354 item 0.4239799976348877 item 0.4437599778175354 item 0.4239799976348877 name c_ofx: item 0.36378002166748047 item 0.35260000824928284 item 0.36378002166748047 item 0.35260000824928284 name d_ofx: item 0.2855199873447418 item 0.29326000809669495 item 0.2855199873447418 item 0.29326000809669495 name m0_ofx0: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name m1_ofx1: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name fx_ofx1: item 0.18318000435829163 item 0.17114000022411346 item 0.18318000435829163 item 0.17114000022411346 group alu: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a0_fco: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b0_fco: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d0_fco: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name fci_fco: item 0.019780000671744347 item 0.020640000700950623 item 0.019780000671744347 item 0.020640000700950623 name fci_f0: item 0.23306000232696533 item 0.23563998937606812 item 0.23306000232696533 item 0.23563998937606812 group sram: name rad0_do: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name rad1_do: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name rad2_do: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name rad3_do: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name clk_di_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_di_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wre_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wre_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad0_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad0_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad1_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad1_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad2_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad2_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad3_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad3_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_do: item 0.22646668553352356 item 0.2213066816329956 item 0.27003997564315796 item 0.26889336109161377 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.11008000373840332 item 0.10147999972105026 item 0.11008000373840332 item 0.10147999972105026 name FX1: item 0.12814000248908997 item 0.11008000373840332 item 0.12814000248908997 item 0.11008000373840332 name X2: item 0.1393200010061264 item 0.16167999804019928 item 0.1393200010061264 item 0.16167999804019928 name X8: item 0.14792001247406006 item 0.18404000997543335 item 0.14792001247406006 item 0.18404000997543335 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11409333348274231 item 0.11524000763893127 item 0.11868000030517578 item 0.12212000787258148 name X0CLK: item 0.12326666712760925 item 0.12670667469501495 item 0.1433333456516266 item 0.14734666049480438 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 10%] Built target chipdb-himbaechel-gowin /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 10%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a100t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a100t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 10%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 10%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 13%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 13%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc Processing nodes... Deduplicating tile shapes... 7680 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin [ 14%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a200t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a200t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 15%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o [ 18%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 18%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o [ 18%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr/generic/arch.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/arch.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/arch_pybindings.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/generic/arch_pybindings.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/himbaechel_api.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr/generic/cells.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/himbaechel_helpers.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr/generic/main.cc [ 21%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/main.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr/generic/pack.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/example/example.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct_api.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/cst.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct_helpers.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/example/example.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/globals.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/okami/okami.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/fabulous.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_utils.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/fasm.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/pack.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/pack.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/cells.cc [ 25%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/validity_check.cc [ 25%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/fasm.cc [ 25%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 25%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_carry.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_clocking.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_dram.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_dsp_xc7.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_io.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pins.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xdc.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xilinx.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xilinx_place.cc [ 28%] Linking CXX executable nextpnr-himbaechel /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o" -o nextpnr-himbaechel -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 28%] Built target nextpnr-generic cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 28%] Built target chipdb-ice40-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 28%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 29%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 29%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 30%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 30%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ecp5 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ecp5 /builddir/build/BUILD/nextpnr/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 31%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 31%] Built target nextpnr-himbaechel [ 31%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a50t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a50t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin [ 31%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7k70t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7k70t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 31%] Built target chipdb-ice40-bins /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 31%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-384.cc [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-1k.cc [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-5k.cc [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-u4k.cc Processing nodes... Deduplicating tile shapes... 6246 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin [ 33%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7s50.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7s50 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba [ 33%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-8k.cc cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 33%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 34%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin [ 34%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z010.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z010 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc Processing nodes... Deduplicating tile shapes... 3034 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin [ 35%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z020.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z020 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc Processing nodes... Deduplicating tile shapes... 7413 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 40%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch_place.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch_pybindings.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/ice40/bitstream.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr/ice40/cells.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chains.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr/ice40/delay.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr/ice40/gfx.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr/ice40/main.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr/ice40/pack.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr/ice40/pcf.cc [ 45%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 45%] Built target nextpnr-ice40 cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 45%] Built target chipdb-ecp5-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/nexus /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/nexus /builddir/build/BUILD/nextpnr/nexus/CMakeFiles/chipdb-nexus-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/build /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 45%] Generating chipdb/chipdb-LIFCL.bba [ 45%] Generating ecp5/chipdb/chipdb-25k.cc [ 45%] Generating ecp5/chipdb/chipdb-45k.cc cd /builddir/build/BUILD/nextpnr/nexus && /usr/bin/prjoxide bba-export LIFCL /builddir/build/BUILD/nextpnr/nexus/constids.inc chipdb/chipdb-LIFCL.bba.new bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc cd /builddir/build/BUILD/nextpnr/nexus && /usr/bin/cmake -E rename chipdb/chipdb-LIFCL.bba.new chipdb/chipdb-LIFCL.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 45%] Built target chipdb-nexus-bbas [ 46%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-nexus-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Generating nexus/chipdb/chipdb-LIFCL.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/nexus/chipdb/chipdb-LIFCL.bba nexus/chipdb/chipdb-LIFCL.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 46%] Built target chipdb-nexus-bins /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/gowin /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/gowin /builddir/build/BUILD/nextpnr/gowin/CMakeFiles/chipdb-gowin-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Generating chipdb/chipdb-GW1N-1.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-1 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-1.bba.new /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Building CXX object CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -MF CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o.d -o CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -c /builddir/build/BUILD/nextpnr/nexus/chipdb/chipdb-LIFCL.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 46%] Built target chipdb-ecp5-bins /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-1.bba.new chipdb/chipdb-GW1N-1.bba gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Generating chipdb/chipdb-GW1NZ-1.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NZ-1 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NZ-1.bba.new [ 46%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-25k.cc cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NZ-1.bba.new chipdb/chipdb-GW1NZ-1.bba [ 47%] Generating chipdb/chipdb-GW1N-4.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-4 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-4.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-4.bba.new chipdb/chipdb-GW1N-4.bba [ 47%] Generating chipdb/chipdb-GW1N-9.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9.bba.new chipdb/chipdb-GW1N-9.bba [ 47%] Generating chipdb/chipdb-GW1N-9C.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9C -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9C.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9C.bba.new chipdb/chipdb-GW1N-9C.bba [ 47%] Generating chipdb/chipdb-GW1NS-2.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-2 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-2.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-2.bba.new chipdb/chipdb-GW1NS-2.bba [ 48%] Generating chipdb/chipdb-GW1NS-4.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-4 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-4.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 49%] Built target chipdb-nexus [ 49%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-45k.cc [ 49%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-85k.cc cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-4.bba.new chipdb/chipdb-GW1NS-4.bba [ 49%] Generating chipdb/chipdb-GW2A-18.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW2A-18 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW2A-18.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW2A-18.bba.new chipdb/chipdb-GW2A-18.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 49%] Built target chipdb-gowin-bbas /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 49%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 49%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 50%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-gowin-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 50%] Generating gowin/chipdb/chipdb-GW1N-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-1.bba gowin/chipdb/chipdb-GW1N-1.cc [ 51%] Generating gowin/chipdb/chipdb-GW1NZ-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.bba gowin/chipdb/chipdb-GW1NZ-1.cc [ 51%] Generating gowin/chipdb/chipdb-GW1N-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-4.bba gowin/chipdb/chipdb-GW1N-4.cc [ 52%] Generating gowin/chipdb/chipdb-GW1N-9.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9.bba gowin/chipdb/chipdb-GW1N-9.cc [ 52%] Generating gowin/chipdb/chipdb-GW1N-9C.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9C.bba gowin/chipdb/chipdb-GW1N-9C.cc [ 52%] Generating gowin/chipdb/chipdb-GW1NS-2.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-2.bba gowin/chipdb/chipdb-GW1NS-2.cc [ 52%] Generating gowin/chipdb/chipdb-GW1NS-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-4.bba gowin/chipdb/chipdb-GW1NS-4.cc [ 52%] Generating gowin/chipdb/chipdb-GW2A-18.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW2A-18.bba gowin/chipdb/chipdb-GW2A-18.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 52%] Built target chipdb-gowin-bins /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/machxo2 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/machxo2 /builddir/build/BUILD/nextpnr/machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 53%] Generating chipdb/chipdb-1200.bba cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr/machxo2/gfx.h 1200 > chipdb/chipdb-1200.bba.new [ 53%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 54%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 54%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 54%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 54%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 54%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 55%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 55%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 55%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 55%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 56%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 56%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 56%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 56%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 56%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 57%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 57%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 57%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 57%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 58%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 58%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 58%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 58%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 58%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 59%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 59%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 59%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-1200.bba.new chipdb/chipdb-1200.bba gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 59%] Generating chipdb/chipdb-6900.bba cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr/machxo2/gfx.h 6900 > chipdb/chipdb-6900.bba.new [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 60%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 61%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 61%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 63%] Building CXX object CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 63%] Building CXX object CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 63%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 65%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch_place.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch_pybindings.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -c /builddir/build/BUILD/nextpnr/nexus/fasm.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -c /builddir/build/BUILD/nextpnr/nexus/global.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 67%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -c /builddir/build/BUILD/nextpnr/nexus/io.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 67%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -c /builddir/build/BUILD/nextpnr/nexus/main.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pack.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pdc.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pins.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 69%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -c /builddir/build/BUILD/nextpnr/nexus/post_place.cc [ 70%] Linking CXX executable nextpnr-nexus /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-nexus.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o" "CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o" -o nextpnr-nexus -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch_place.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch_pybindings.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/baseconfigs.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/bitstream.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 74%] Built target nextpnr-nexus /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-1.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/cells.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-4.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/config.cc [ 75%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/gfx.cc [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9C.cc [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-2.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/globals.cc [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-4.cc [ 76%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW2A-18.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/lpf.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 78%] Built target chipdb-gowin [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/main.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/pack.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/pio.cc /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 78%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 79%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-6900.bba.new chipdb/chipdb-6900.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 81%] Built target chipdb-machxo2-bbas [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-machxo2-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 84%] Generating machxo2/chipdb/chipdb-1200.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-1200.bba machxo2/chipdb/chipdb-1200.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 84%] Generating machxo2/chipdb/chipdb-6900.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-6900.bba machxo2/chipdb/chipdb-6900.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 85%] Built target nextpnr-ecp5 [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 87%] Built target chipdb-machxo2-bins [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -c /builddir/build/BUILD/nextpnr/gowin/arch.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/gowin/arch_pybindings.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -c /builddir/build/BUILD/nextpnr/gowin/cells.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr/gowin/cst.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -c /builddir/build/BUILD/nextpnr/gowin/gfx.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr/gowin/globals.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -c /builddir/build/BUILD/nextpnr/gowin/main.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr/gowin/pack.cc /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 89%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-1200.cc [ 89%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-6900.cc [ 89%] Linking CXX executable nextpnr-gowin /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o" -o nextpnr-gowin -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 89%] Built target chipdb-machxo2 /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 90%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 90%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 90%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 90%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 91%] Built target nextpnr-gowin [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch_place.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch_pybindings.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/baseconfigs.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/bitstream.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/cells.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/config.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/gfx.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/pack.cc [100%] Linking CXX executable nextpnr-machxo2 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-machxo2.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o" -o nextpnr-machxo2 -ljson11 /usr/lib64/libtbb.so.2 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [100%] Built target nextpnr-machxo2 Processing nodes... Deduplicating tile shapes... 12613 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [100%] Built target chipdb-himbaechel-xilinx gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr/CMakeFiles 0 + mkdir -p examples/ice40 examples/ecp5 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.FcKQ9c + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 ++ dirname /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + DESTDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 + /usr/bin/cmake --install . -- Install configuration: "RelWithDebInfo" -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-himbaechel -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-ecp5 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-nexus -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-gowin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/bin/nextpnr-machxo2 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/example -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18C.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NS-4.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9C.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-4.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NZ-1.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-1.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a200t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z020.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z010.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7s50.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7k70t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a50t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a100t.bin + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 0.7-20241002.0.git268b32c3.fc39 --unique-debug-suffix -0.7-20241002.0.git268b32c3.fc39.aarch64 --unique-debug-src-base nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr find-debuginfo: starting Extracting debug info from 7 files DWARF-compressing 7 files sepdebugcrcfix: Updated 7 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 2372954 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.kJV4mX + umask 022 + cd /builddir/build/BUILD + cd nextpnr + DOCDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/doc/nextpnr + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/README.md /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/docs /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/examples /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.VkYHkN + umask 022 + cd /builddir/build/BUILD + cd nextpnr + LICENSEDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/licenses/nextpnr + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/COPYING /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-imgui.txt /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-qtimgui.txt /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-python-console.txt /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: nextpnr = 1:0.7-20241002.0.git268b32c3.fc39 nextpnr(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libboost_filesystem.so.1.81.0()(64bit) libboost_iostreams.so.1.81.0()(64bit) libboost_program_options.so.1.81.0()(64bit) libboost_thread.so.1.81.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libjson11.so.0()(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-0.7-20241002.0.git268b32c3.fc39.aarch64 Provides: nextpnr-debugsource = 1:0.7-20241002.0.git268b32c3.fc39 nextpnr-debugsource(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-0.7-20241002.0.git268b32c3.fc39.aarch64 Provides: debuginfo(build-id) = 064a7a33f4b782e25efaa0df31d0af553183412e debuginfo(build-id) = 5e21aeeca8e42a51196dd2092f39efc761b808f2 debuginfo(build-id) = 7a0ae56d29d716efa29b2af0c58386d25350b1a6 debuginfo(build-id) = 7fad8cf4db410094df8d78a4bb1f0c00f12685ed debuginfo(build-id) = 850648818fc7b1613daf92420f519aedede348db debuginfo(build-id) = 9b3aab47d77b1460e4b197887b9af65603932030 debuginfo(build-id) = ccf9e23accbaaf912afab42dc3fb3c137985ed26 nextpnr-debuginfo = 1:0.7-20241002.0.git268b32c3.fc39 nextpnr-debuginfo(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc39 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 Wrote: /builddir/build/RPMS/nextpnr-debuginfo-0.7-20241002.0.git268b32c3.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-0.7-20241002.0.git268b32c3.fc39.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.T2ZBDW + umask 022 + cd /builddir/build/BUILD + cd nextpnr + /usr/bin/rm -rf /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc39.aarch64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.Dez8wG + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + rm -rf nextpnr nextpnr.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm Finish: build phase for nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log/dnf.rpm.log /var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-aarch64-1727898127.440753/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20241002.0.git268b32c3.fc39.src.rpm) Config(child) 38 minutes 14 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc39", "arch": "src" }, { "name": "nextpnr-debuginfo", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc39", "arch": "aarch64" }, { "name": "nextpnr-debugsource", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc39", "arch": "aarch64" }, { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc39", "arch": "aarch64" } ] } RPMResults finished