Warning: Permanently added '52.87.208.35' (ED25519) to the list of known hosts. Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-1xp3zcod/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-1xp3zcod/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717463632.115796 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-1xp3zcod/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-1xp3zcod/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717463632.115796 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-1xp3zcod/cocotb/cocotb.spec) Config(fedora-40-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1717463632.115796/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:40 INFO: Pulling image: registry.fedoraproject.org/fedora:40 INFO: Copy content of container registry.fedoraproject.org/fedora:40 to /var/lib/mock/fedora-40-aarch64-bootstrap-1717463632.115796/root INFO: Checking that registry.fedoraproject.org/fedora:40 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:40 with podman image mount INFO: image registry.fedoraproject.org/fedora:40 as /var/lib/containers/storage/overlay/0f8d7c76575f67980e42422913ed463a85c18d7370fe18e4265e5ed405a9bcb0/merged INFO: umounting image registry.fedoraproject.org/fedora:40 (/var/lib/containers/storage/overlay/0f8d7c76575f67980e42422913ed463a85c18d7370fe18e4265e5ed405a9bcb0/merged) with podman image umount INFO: Using 'dnf' instead of 'dnf5' for bootstrap chroot INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 3.1 MB/s | 127 kB 00:00 Additional repo copr_rezso_ML 5.4 MB/s | 165 kB 00:00 Additional repo copr_rezso_CUDA 1.5 MB/s | 42 kB 00:00 Additional repo http_developer_download_nvidia_ 69 MB/s | 743 kB 00:00 Additional repo http_developer_download_nvidia_ 53 MB/s | 469 kB 00:00 Additional repo http_developer_download_nvidia_ 42 MB/s | 435 kB 00:00 fedora 26 MB/s | 19 MB 00:00 updates 18 MB/s | 6.6 MB 00:00 Dependencies resolved. ================================================================================ Package Architecture Version Repository Size ================================================================================ Installing: dnf5 aarch64 5.1.17-1.fc40 updates 652 k dnf5-plugins aarch64 5.1.17-1.fc40 updates 336 k Installing dependencies: fmt aarch64 10.2.1-4.fc40 fedora 121 k libdnf5 aarch64 5.1.17-1.fc40 updates 914 k libdnf5-cli aarch64 5.1.17-1.fc40 updates 219 k sdbus-cpp aarch64 1.4.0-2.fc40 fedora 101 k Transaction Summary ================================================================================ Install 6 Packages Total download size: 2.3 M Installed size: 7.4 M Downloading Packages: (1/6): dnf5-5.1.17-1.fc40.aarch64.rpm 42 MB/s | 652 kB 00:00 (2/6): sdbus-cpp-1.4.0-2.fc40.aarch64.rpm 6.1 MB/s | 101 kB 00:00 (3/6): fmt-10.2.1-4.fc40.aarch64.rpm 6.8 MB/s | 121 kB 00:00 (4/6): libdnf5-cli-5.1.17-1.fc40.aarch64.rpm 62 MB/s | 219 kB 00:00 (5/6): libdnf5-5.1.17-1.fc40.aarch64.rpm 113 MB/s | 914 kB 00:00 (6/6): dnf5-plugins-5.1.17-1.fc40.aarch64.rpm 28 MB/s | 336 kB 00:00 -------------------------------------------------------------------------------- Total 7.5 MB/s | 2.3 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : fmt-10.2.1-4.fc40.aarch64 1/6 Installing : libdnf5-5.1.17-1.fc40.aarch64 2/6 Installing : libdnf5-cli-5.1.17-1.fc40.aarch64 3/6 Installing : sdbus-cpp-1.4.0-2.fc40.aarch64 4/6 Installing : dnf5-5.1.17-1.fc40.aarch64 5/6 Installing : dnf5-plugins-5.1.17-1.fc40.aarch64 6/6 Running scriptlet: dnf5-plugins-5.1.17-1.fc40.aarch64 6/6 Installed: dnf5-5.1.17-1.fc40.aarch64 dnf5-plugins-5.1.17-1.fc40.aarch64 fmt-10.2.1-4.fc40.aarch64 libdnf5-5.1.17-1.fc40.aarch64 libdnf5-cli-5.1.17-1.fc40.aarch64 sdbus-cpp-1.4.0-2.fc40.aarch64 Complete! INFO: Switching package manager from dnf to the dnf5 (direct choice) Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-1717463632.115796/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.6.0-3.fc40.aarch64 python3-dnf-4.19.2-1.fc40.noarch yum-4.19.2-1.fc40.noarch dnf5-5.1.17-1.fc40.aarch64 dnf5-plugins-5.1.17-1.fc40.aarch64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 23.9 KiB/s | 5.0 KiB | 00m00s updates 100% | 75.3 KiB/s | 3.8 KiB | 00m00s Copr repository 100% | 29.4 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_ML 100% | 109.2 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 108.7 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 580.9 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 870.6 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 870.6 KiB/s | 3.5 KiB | 00m00s Copr repository 100% | 229.7 KiB/s | 128.8 KiB | 00m01s Additional repo copr_rezso_ML 100% | 5.8 MiB/s | 166.9 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash aarch64 5.2.26-3.fc40 fedora 8.3 MiB bzip2 aarch64 1.0.8-18.fc40 fedora 427.5 KiB coreutils aarch64 9.4-6.fc40 fedora 20.8 MiB cpio aarch64 2.15-1.fc40 fedora 1.2 MiB diffutils aarch64 3.10-5.fc40 fedora 2.1 MiB fedora-release-common noarch 40-39 updates 19.1 KiB findutils aarch64 1:4.9.0-8.fc40 fedora 1.7 MiB gawk aarch64 5.3.0-3.fc40 fedora 4.2 MiB glibc-minimal-langpack aarch64 2.39.9999-99.fc40 copr_rezso_ML 0.0 B grep aarch64 3.11-7.fc40 fedora 1.1 MiB gzip aarch64 1.13-1.fc40 fedora 488.8 KiB info aarch64 7.1-2.fc40 fedora 613.5 KiB patch aarch64 2.7.6-24.fc40 fedora 390.5 KiB redhat-rpm-config noarch 286-1.fc40 fedora 185.2 KiB rpm-build aarch64 4.19.1.1-1.fc40 fedora 1.2 MiB sed aarch64 4.9-1.fc40 fedora 1.0 MiB shadow-utils aarch64 2:4.15.1-3.fc40 updates 7.3 MiB tar aarch64 2:1.35-3.fc40 fedora 3.1 MiB unzip aarch64 6.0-63.fc40 fedora 726.4 KiB util-linux aarch64 2.40.1-1.fc40 updates 17.5 MiB which aarch64 2.21-41.fc40 fedora 248.1 KiB xz aarch64 1:5.4.6-3.fc40 fedora 2.3 MiB Installing dependencies: alternatives aarch64 1.27-1.fc40 updates 218.2 KiB ansible-srpm-macros noarch 1-14.fc40 fedora 35.7 KiB audit-libs aarch64 4.0.1-1.fc40 fedora 547.2 KiB authselect aarch64 1.5.0-5.fc40 fedora 309.4 KiB authselect-libs aarch64 1.5.0-5.fc40 fedora 931.8 KiB basesystem noarch 11-20.fc40 fedora 0.0 B binutils aarch64 2.41-37.fc40 updates 32.8 MiB binutils-gold aarch64 2.41-37.fc40 updates 3.1 MiB bzip2-libs aarch64 1.0.8-18.fc40 fedora 200.7 KiB ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 fedora 2.3 MiB coreutils-common aarch64 9.4-6.fc40 fedora 11.4 MiB cracklib aarch64 2.9.11-5.fc40 fedora 934.6 KiB crypto-policies noarch 20240510-1.gitd287a42.fc40 updates 151.9 KiB curl aarch64 8.6.0-8.fc40 updates 866.5 KiB cyrus-sasl-lib aarch64 2.1.28-19.fc40 fedora 3.1 MiB debugedit aarch64 5.0-14.fc40 fedora 498.8 KiB dwz aarch64 0.15-6.fc40 fedora 386.7 KiB ed aarch64 1.20.2-1.fc40 updates 282.7 KiB efi-srpm-macros noarch 5-11.fc40 fedora 40.1 KiB elfutils aarch64 0.191-4.fc40 fedora 5.0 MiB elfutils-debuginfod-client aarch64 0.191-4.fc40 fedora 396.7 KiB elfutils-default-yama-scope noarch 0.191-4.fc40 fedora 1.8 KiB elfutils-libelf aarch64 0.191-4.fc40 fedora 1.3 MiB elfutils-libs aarch64 0.191-4.fc40 fedora 1.0 MiB fedora-gpg-keys noarch 40-2 updates 124.7 KiB fedora-release noarch 40-39 updates 0.0 B fedora-release-identity-basic noarch 40-39 updates 654.0 B fedora-repos noarch 40-2 updates 4.9 KiB file aarch64 5.45-4.fc40 fedora 267.4 KiB file-libs aarch64 5.45-4.fc40 fedora 10.0 MiB filesystem aarch64 3.18-8.fc40 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-14.fc40 fedora 55.3 KiB forge-srpm-macros noarch 0.3.1-1.fc40 updates 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 fedora 144.0 B gdb-minimal aarch64 14.2-2.fc40 updates 12.7 MiB gdbm aarch64 1:1.23-6.fc40 fedora 928.2 KiB gdbm-libs aarch64 1:1.23-6.fc40 fedora 425.8 KiB ghc-srpm-macros noarch 1.9-1.fc40 fedora 716.0 B glibc aarch64 2.39.9999-99.fc40 copr_rezso_ML 9.7 MiB glibc-common aarch64 2.39.9999-99.fc40 copr_rezso_ML 2.6 MiB glibc-gconv-extra aarch64 2.39.9999-99.fc40 copr_rezso_ML 49.0 MiB gmp aarch64 1:6.2.1-8.fc40 fedora 721.2 KiB gnat-srpm-macros noarch 6-5.fc40 fedora 1.0 KiB go-srpm-macros noarch 3.5.0-1.fc40 fedora 60.6 KiB jansson aarch64 2.13.1-9.fc40 fedora 220.4 KiB kernel-srpm-macros noarch 1.0-23.fc40 fedora 1.9 KiB keyutils-libs aarch64 1.6.3-3.fc40 fedora 226.3 KiB krb5-libs aarch64 1.21.2-5.fc40 fedora 3.4 MiB libacl aarch64 2.3.2-1.fc40 fedora 196.0 KiB libarchive aarch64 3.7.2-4.fc40 updates 1.0 MiB libattr aarch64 2.5.2-3.fc40 fedora 196.5 KiB libblkid aarch64 2.40.1-1.fc40 updates 418.5 KiB libbrotli aarch64 1.1.0-3.fc40 fedora 1.1 MiB libcap aarch64 2.69-8.fc40 updates 1.4 MiB libcap-ng aarch64 0.8.4-4.fc40 fedora 417.0 KiB libcom_err aarch64 1.47.0-5.fc40 fedora 239.2 KiB libcurl aarch64 8.6.0-8.fc40 updates 856.8 KiB libeconf aarch64 0.6.2-2.fc40 updates 206.0 KiB libevent aarch64 2.1.12-12.fc40 fedora 1.5 MiB libfdisk aarch64 2.40.1-1.fc40 updates 482.8 KiB libffi aarch64 3.4.4-7.fc40 fedora 281.4 KiB libgcc aarch64 14.1.1-4.fc40 updates 350.2 KiB libgomp aarch64 14.1.1-4.fc40 updates 567.3 KiB libidn2 aarch64 2.3.7-1.fc40 fedora 457.1 KiB libmount aarch64 2.40.1-1.fc40 updates 483.8 KiB libnghttp2 aarch64 1.59.0-3.fc40 updates 262.1 KiB libnsl2 aarch64 2.0.1-1.fc40 fedora 221.9 KiB libpkgconf aarch64 2.1.0-1.fc40 fedora 198.0 KiB libpsl aarch64 0.21.5-3.fc40 fedora 196.5 KiB libpwquality aarch64 1.4.5-9.fc40 fedora 1.1 MiB libselinux aarch64 3.6-4.fc40 fedora 265.1 KiB libsemanage aarch64 3.6-3.fc40 fedora 361.4 KiB libsepol aarch64 3.6-3.fc40 fedora 874.0 KiB libsmartcols aarch64 2.40.1-1.fc40 updates 288.4 KiB libssh aarch64 0.10.6-5.fc40 fedora 581.1 KiB libssh-config noarch 0.10.6-5.fc40 fedora 277.0 B libstdc++ aarch64 14.1.1-4.fc40 updates 2.8 MiB libtasn1 aarch64 4.19.0-6.fc40 fedora 283.7 KiB libtirpc aarch64 1.3.4-1.rc3.fc40 fedora 274.6 KiB libtool-ltdl aarch64 2.4.7-10.fc40 fedora 222.2 KiB libunistring aarch64 1.1-7.fc40 fedora 1.9 MiB libutempter aarch64 1.2.1-13.fc40 fedora 417.6 KiB libuuid aarch64 2.40.1-1.fc40 updates 197.4 KiB libverto aarch64 0.3.2-8.fc40 fedora 197.4 KiB libxcrypt aarch64 4.4.36-5.fc40 fedora 398.9 KiB libxml2 aarch64 2.12.7-1.fc40 updates 2.2 MiB libzstd aarch64 1.5.6-1.fc40 updates 795.9 KiB lua-libs aarch64 5.4.6-5.fc40 fedora 393.0 KiB lua-srpm-macros noarch 1-13.fc40 fedora 1.3 KiB lz4-libs aarch64 1.9.4-6.fc40 fedora 261.4 KiB mpfr aarch64 4.2.1-4.fc40 updates 818.8 KiB ncurses-base noarch 6.4-12.20240127.fc40 fedora 326.2 KiB ncurses-libs aarch64 6.4-12.20240127.fc40 fedora 2.2 MiB ocaml-srpm-macros noarch 9-3.fc40 fedora 1.9 KiB openblas-srpm-macros noarch 2-16.fc40 fedora 104.0 B openldap aarch64 2.6.7-1.fc40 fedora 1.0 MiB openssl-libs aarch64 1:3.2.1-2.fc40 fedora 7.8 MiB p11-kit aarch64 0.25.3-4.fc40 fedora 2.8 MiB p11-kit-trust aarch64 0.25.3-4.fc40 fedora 655.4 KiB package-notes-srpm-macros noarch 0.5-11.fc40 fedora 1.6 KiB pam aarch64 1.6.1-1.fc40 updates 11.0 MiB pam-libs aarch64 1.6.1-1.fc40 updates 607.0 KiB pcre2 aarch64 10.42-2.fc40.2 fedora 905.6 KiB pcre2-syntax noarch 10.42-2.fc40.2 fedora 235.1 KiB perl-srpm-macros noarch 1-53.fc40 fedora 861.0 B pkgconf aarch64 2.1.0-1.fc40 fedora 238.3 KiB pkgconf-m4 noarch 2.1.0-1.fc40 fedora 13.9 KiB pkgconf-pkg-config aarch64 2.1.0-1.fc40 fedora 990.0 B popt aarch64 1.19-6.fc40 fedora 272.8 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 fedora 67.5 KiB pyproject-srpm-macros noarch 1.12.0-1.fc40 fedora 1.5 KiB python-srpm-macros noarch 3.12-7.fc40 fedora 50.1 KiB qt5-srpm-macros noarch 5.15.13-1.fc40 fedora 492.0 B qt6-srpm-macros noarch 6.7.1-1.fc40 updates 456.0 B readline aarch64 8.2-8.fc40 fedora 689.1 KiB rpm aarch64 4.19.1.1-1.fc40 fedora 4.0 MiB rpm-build-libs aarch64 4.19.1.1-1.fc40 fedora 262.4 KiB rpm-libs aarch64 4.19.1.1-1.fc40 fedora 861.6 KiB rpm-sequoia aarch64 1.6.0-3.fc40 updates 2.1 MiB rust-srpm-macros noarch 26.3-1.fc40 updates 4.8 KiB setup noarch 2.14.5-2.fc40 fedora 720.4 KiB sqlite-libs aarch64 3.45.1-2.fc40 fedora 1.5 MiB systemd-libs aarch64 255.7-1.fc40 updates 2.5 MiB util-linux-core aarch64 2.40.1-1.fc40 updates 6.2 MiB xxhash-libs aarch64 0.8.2-2.fc40 fedora 212.2 KiB xz-libs aarch64 1:5.4.6-3.fc40 fedora 265.6 KiB zig-srpm-macros noarch 1-2.fc40 fedora 1.1 KiB zip aarch64 3.0-40.fc40 fedora 1.1 MiB zlib-ng-compat aarch64 2.1.6-2.fc40 fedora 261.7 KiB zstd aarch64 1.5.6-1.fc40 updates 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 152 packages Total size of inbound packages is 53 MiB. Need to download 0 B. After this operation 306 MiB will be used (install 306 MiB, remove 0 B). [ 1/152] tar-2:1.35-3.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 2/152] bzip2-0:1.0.8-18.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/152] redhat-rpm-config-0:286-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/152] rpm-build-0:4.19.1.1-1.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/152] unzip-0:6.0-63.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/152] cpio-0:2.15-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/152] which-0:2.21-41.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/152] bash-0:5.2.26-3.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/152] coreutils-0:9.4-6.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 10/152] grep-0:3.11-7.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 11/152] patch-0:2.7.6-24.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 12/152] sed-0:4.9-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 13/152] diffutils-0:3.10-5.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 14/152] findutils-1:4.9.0-8.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 15/152] gawk-0:5.3.0-3.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 16/152] gzip-0:1.13-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 17/152] info-0:7.1-2.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 18/152] xz-1:5.4.6-3.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 19/152] shadow-utils-2:4.15.1-3.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 20/152] util-linux-0:2.40.1-1.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 21/152] fedora-release-common-0:40-39 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 22/152] glibc-minimal-langpack-0:2.39 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 23/152] libacl-0:2.3.2-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 24/152] libselinux-0:3.6-4.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 25/152] bzip2-libs-0:1.0.8-18.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 26/152] ansible-srpm-macros-0:1-14.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 27/152] dwz-0:0.15-6.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 28/152] efi-srpm-macros-0:5-11.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 29/152] file-0:5.45-4.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 30/152] fonts-srpm-macros-1:2.0.5-14. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/152] fpc-srpm-macros-0:1.3-12.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/152] ghc-srpm-macros-0:1.9-1.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/152] gnat-srpm-macros-0:6-5.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/152] go-srpm-macros-0:3.5.0-1.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/152] kernel-srpm-macros-0:1.0-23.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/152] lua-srpm-macros-0:1-13.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/152] ocaml-srpm-macros-0:9-3.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/152] openblas-srpm-macros-0:2-16.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/152] package-notes-srpm-macros-0:0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/152] perl-srpm-macros-0:1-53.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/152] pyproject-srpm-macros-0:1.12. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/152] python-srpm-macros-0:3.12-7.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/152] qt5-srpm-macros-0:5.15.13-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/152] rpm-0:4.19.1.1-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/152] zig-srpm-macros-0:1-2.fc40.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/152] zip-0:3.0-40.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/152] debugedit-0:5.0-14.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/152] elfutils-0:0.191-4.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/152] elfutils-libelf-0:0.191-4.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/152] pkgconf-pkg-config-0:2.1.0-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/152] popt-0:1.19-6.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/152] readline-0:8.2-8.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/152] rpm-build-libs-0:4.19.1.1-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/152] rpm-libs-0:4.19.1.1-1.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/152] filesystem-0:3.18-8.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/152] ncurses-libs-0:6.4-12.2024012 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/152] coreutils-common-0:9.4-6.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/152] gmp-1:6.2.1-8.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/152] libattr-0:2.5.2-3.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/152] openssl-libs-1:3.2.1-2.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/152] pcre2-0:10.42-2.fc40.2.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/152] xz-libs-1:5.4.6-3.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/152] audit-libs-0:4.0.1-1.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/152] libsemanage-0:3.6-3.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/152] libxcrypt-0:4.4.36-5.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/152] setup-0:2.14.5-2.fc40.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/152] authselect-libs-0:1.5.0-5.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/152] libcap-ng-0:0.8.4-4.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/152] libutempter-0:1.2.1-13.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/152] zlib-ng-compat-0:2.1.6-2.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/152] libblkid-0:2.40.1-1.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/152] libfdisk-0:2.40.1-1.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/152] libmount-0:2.40.1-1.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/152] libsmartcols-0:2.40.1-1.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/152] libuuid-0:2.40.1-1.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/152] util-linux-core-0:2.40.1-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/152] glibc-0:2.39.9999-99.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/152] glibc-common-0:2.39.9999-99.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/152] libsepol-0:3.6-3.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/152] file-libs-0:5.45-4.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/152] elfutils-libs-0:0.191-4.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/152] elfutils-debuginfod-client-0: 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/152] pkgconf-0:2.1.0-1.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/152] pkgconf-m4-0:2.1.0-1.fc40.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/152] lua-libs-0:5.4.6-5.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/152] sqlite-libs-0:3.45.1-2.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/152] ncurses-base-0:6.4-12.2024012 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/152] ca-certificates-0:2023.2.62_v 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 89/152] pcre2-syntax-0:10.42-2.fc40.2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 90/152] glibc-gconv-extra-0:2.39.9999 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 91/152] basesystem-0:11-20.fc40.noarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 92/152] elfutils-default-yama-scope-0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 93/152] libpkgconf-0:2.1.0-1.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 94/152] p11-kit-0:0.25.3-4.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 95/152] p11-kit-trust-0:0.25.3-4.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 96/152] libffi-0:3.4.4-7.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 97/152] libtasn1-0:4.19.0-6.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 98/152] libgcc-0:14.1.1-4.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 99/152] systemd-libs-0:255.7-1.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [100/152] lz4-libs-0:1.9.4-6.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [101/152] pam-0:1.6.1-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [102/152] authselect-0:1.5.0-5.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [103/152] gdbm-1:1.23-6.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [104/152] gdbm-libs-1:1.23-6.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [105/152] libnsl2-0:2.0.1-1.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [106/152] libpwquality-0:1.4.5-9.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [107/152] libtirpc-0:1.3.4-1.rc3.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [108/152] pam-libs-0:1.6.1-1.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [109/152] cracklib-0:2.9.11-5.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [110/152] krb5-libs-0:1.21.2-5.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [111/152] libcom_err-0:1.47.0-5.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [112/152] keyutils-libs-0:1.6.3-3.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [113/152] libverto-0:0.3.2-8.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [114/152] libcap-0:2.69-8.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [115/152] libzstd-0:1.5.6-1.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [116/152] libeconf-0:0.6.2-2.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [117/152] fedora-repos-0:40-2.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [118/152] fedora-gpg-keys-0:40-2.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [119/152] rpm-sequoia-0:1.6.0-3.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [120/152] libgomp-0:14.1.1-4.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [121/152] binutils-0:2.41-37.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [122/152] jansson-0:2.13.1-9.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [123/152] zstd-0:1.5.6-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [124/152] curl-0:8.6.0-8.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [125/152] libarchive-0:3.7.2-4.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [126/152] forge-srpm-macros-0:0.3.1-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [127/152] qt6-srpm-macros-0:6.7.1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [128/152] rust-srpm-macros-0:26.3-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [129/152] ed-0:1.20.2-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [130/152] alternatives-0:1.27-1.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [131/152] crypto-policies-0:20240510-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [132/152] mpfr-0:4.2.1-4.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [133/152] libstdc++-0:14.1.1-4.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [134/152] libxml2-0:2.12.7-1.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [135/152] binutils-gold-0:2.41-37.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [136/152] fedora-release-0:40-39.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [137/152] fedora-release-identity-basic 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [138/152] libcurl-0:8.6.0-8.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [139/152] libbrotli-0:1.1.0-3.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [140/152] libidn2-0:2.3.7-1.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [141/152] libpsl-0:0.21.5-3.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [142/152] libssh-0:0.10.6-5.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [143/152] openldap-0:2.6.7-1.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [144/152] libunistring-0:1.1-7.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [145/152] publicsuffix-list-dafsa-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [146/152] libssh-config-0:0.10.6-5.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [147/152] cyrus-sasl-lib-0:2.1.28-19.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [148/152] libevent-0:2.1.12-12.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [149/152] libtool-ltdl-0:2.4.7-10.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [150/152] gdb-minimal-0:14.2-2.fc40.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [151/152] xxhash-libs-0:0.8.2-2.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [152/152] libnghttp2-0:1.59.0-3.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [152/152] Total 100% | 0.0 B/s | 0.0 B | 00m00s Running transaction Importing PGP key 0xA15B79CC: Userid : "Fedora (40) " Fingerprint: 115DF9AEF857853EE8445D0A0727707EA15B79CC From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary The key was successfully imported. [ 1/154] Verify package files 100% | 558.0 B/s | 152.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 >>> Stop pre-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 [ 2/154] Prepare transaction 100% | 2.6 KiB/s | 152.0 B | 00m00s [ 3/154] Installing libgcc-0:14.1.1-4. 100% | 171.8 MiB/s | 351.9 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.1.1-4.fc40.aarch64 >>> Stop post-install scriptlet: libgcc-0:14.1.1-4.fc40.aarch64 [ 4/154] Installing crypto-policies-0: 100% | 25.7 MiB/s | 184.5 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240510-1.gitd287a42.fc40 >>> Stop post-install scriptlet: crypto-policies-0:20240510-1.gitd287a42.fc40.no [ 5/154] Installing fedora-release-ide 100% | 890.6 KiB/s | 912.0 B | 00m00s [ 6/154] Installing fedora-gpg-keys-0: 100% | 33.1 MiB/s | 169.7 KiB | 00m00s [ 7/154] Installing fedora-repos-0:40- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 8/154] Installing fedora-release-com 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 9/154] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 10/154] Installing setup-0:2.14.5-2.f 100% | 41.7 MiB/s | 725.8 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.14.5-2.fc40.noarch >>> Stop post-install scriptlet: setup-0:2.14.5-2.fc40.noarch [ 11/154] Installing filesystem-0:3.18- 100% | 2.3 MiB/s | 212.4 KiB | 00m00s [ 12/154] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 13/154] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 14/154] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 15/154] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [ 16/154] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [ 17/154] Installing pcre2-syntax-0:10. 100% | 116.0 MiB/s | 237.6 KiB | 00m00s [ 18/154] Installing ncurses-base-0:6.4 100% | 57.2 MiB/s | 351.6 KiB | 00m00s [ 19/154] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 20/154] Installing ncurses-libs-0:6.4 100% | 321.1 MiB/s | 2.2 MiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 >>> Stop pre-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 [ 21/154] Installing glibc-0:2.39.9999- 100% | 270.8 MiB/s | 9.7 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 >>> Stop post-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 [ 22/154] Installing bash-0:5.2.26-3.fc 100% | 319.7 MiB/s | 8.3 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.aarch64 >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.aarch64 [ 23/154] Installing glibc-common-0:2.3 100% | 320.3 MiB/s | 2.6 MiB | 00m00s [ 24/154] Installing glibc-gconv-extra- 100% | 563.8 MiB/s | 49.0 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39.9999-99.fc40.aarch6 >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39.9999-99.fc40.aarch64 [ 25/154] Installing zlib-ng-compat-0:2 100% | 256.4 MiB/s | 262.5 KiB | 00m00s [ 26/154] Installing xz-libs-1:5.4.6-3. 100% | 260.5 MiB/s | 266.7 KiB | 00m00s [ 27/154] Installing bzip2-libs-0:1.0.8 100% | 197.0 MiB/s | 201.8 KiB | 00m00s [ 28/154] Installing popt-0:1.19-6.fc40 100% | 91.0 MiB/s | 279.4 KiB | 00m00s [ 29/154] Installing readline-0:8.2-8.f 100% | 225.0 MiB/s | 691.2 KiB | 00m00s [ 30/154] Installing libuuid-0:2.40.1-1 100% | 193.8 MiB/s | 198.5 KiB | 00m00s [ 31/154] Installing libzstd-0:1.5.6-1. 100% | 259.5 MiB/s | 797.1 KiB | 00m00s [ 32/154] Installing elfutils-libelf-0: 100% | 328.4 MiB/s | 1.3 MiB | 00m00s [ 33/154] Installing libstdc++-0:14.1.1 100% | 307.8 MiB/s | 2.8 MiB | 00m00s [ 34/154] Installing libblkid-0:2.40.1- 100% | 204.9 MiB/s | 419.6 KiB | 00m00s [ 35/154] Installing gmp-1:6.2.1-8.fc40 100% | 235.5 MiB/s | 723.4 KiB | 00m00s [ 36/154] Installing libattr-0:2.5.2-3. 100% | 192.8 MiB/s | 197.4 KiB | 00m00s [ 37/154] Installing libacl-0:2.3.2-1.f 100% | 192.2 MiB/s | 196.8 KiB | 00m00s [ 38/154] Installing libxcrypt-0:4.4.36 100% | 196.1 MiB/s | 401.6 KiB | 00m00s [ 39/154] Installing lz4-libs-0:1.9.4-6 100% | 256.3 MiB/s | 262.5 KiB | 00m00s [ 40/154] Installing gdbm-libs-1:1.23-6 100% | 417.5 MiB/s | 427.5 KiB | 00m00s [ 41/154] Installing libeconf-0:0.6.2-2 100% | 202.8 MiB/s | 207.6 KiB | 00m00s [ 42/154] Installing mpfr-0:4.2.1-4.fc4 100% | 267.1 MiB/s | 820.4 KiB | 00m00s [ 43/154] Installing gawk-0:5.3.0-3.fc4 100% | 387.5 MiB/s | 4.3 MiB | 00m00s [ 44/154] Installing dwz-0:0.15-6.fc40. 100% | 189.5 MiB/s | 388.1 KiB | 00m00s [ 45/154] Installing unzip-0:6.0-63.fc4 100% | 237.6 MiB/s | 729.8 KiB | 00m00s [ 46/154] Installing file-libs-0:5.45-4 100% | 589.6 MiB/s | 10.0 MiB | 00m00s [ 47/154] Installing file-0:5.45-4.fc40 100% | 262.6 MiB/s | 268.9 KiB | 00m00s [ 48/154] Installing pcre2-0:10.42-2.fc 100% | 295.2 MiB/s | 907.0 KiB | 00m00s [ 49/154] Installing grep-0:3.11-7.fc40 100% | 156.7 MiB/s | 1.1 MiB | 00m00s [ 50/154] Installing xz-1:5.4.6-3.fc40. 100% | 207.6 MiB/s | 2.3 MiB | 00m00s [ 51/154] Installing libcap-ng-0:0.8.4- 100% | 409.0 MiB/s | 418.9 KiB | 00m00s [ 52/154] Installing audit-libs-0:4.0.1 100% | 268.2 MiB/s | 549.3 KiB | 00m00s [ 53/154] Installing pam-libs-0:1.6.1-1 100% | 297.5 MiB/s | 609.2 KiB | 00m00s [ 54/154] Installing libcap-0:2.69-8.fc 100% | 343.7 MiB/s | 1.4 MiB | 00m00s [ 55/154] Installing systemd-libs-0:255 100% | 350.9 MiB/s | 2.5 MiB | 00m00s [ 56/154] Installing libsmartcols-0:2.4 100% | 282.8 MiB/s | 289.6 KiB | 00m00s [ 57/154] Installing libsepol-0:3.6-3.f 100% | 284.8 MiB/s | 874.9 KiB | 00m00s [ 58/154] Installing libselinux-0:3.6-4 100% | 130.0 MiB/s | 266.3 KiB | 00m00s [ 59/154] Installing sed-0:4.9-1.fc40.a 100% | 164.3 MiB/s | 1.0 MiB | 00m00s [ 60/154] Installing findutils-1:4.9.0- 100% | 207.6 MiB/s | 1.7 MiB | 00m00s [ 61/154] Installing libmount-0:2.40.1- 100% | 236.8 MiB/s | 485.0 KiB | 00m00s [ 62/154] Installing lua-libs-0:5.4.6-5 100% | 192.5 MiB/s | 394.2 KiB | 00m00s [ 63/154] Installing libtasn1-0:4.19.0- 100% | 139.4 MiB/s | 285.5 KiB | 00m00s [ 64/154] Installing libcom_err-0:1.47. 100% | 234.7 MiB/s | 240.3 KiB | 00m00s [ 65/154] Installing jansson-0:2.13.1-9 100% | 216.5 MiB/s | 221.7 KiB | 00m00s [ 66/154] Installing alternatives-0:1.2 100% | 214.7 MiB/s | 219.9 KiB | 00m00s [ 67/154] Installing libunistring-0:1.1 100% | 311.9 MiB/s | 1.9 MiB | 00m00s [ 68/154] Installing libidn2-0:2.3.7-1. 100% | 113.0 MiB/s | 463.0 KiB | 00m00s [ 69/154] Installing libpsl-0:0.21.5-3. 100% | 193.0 MiB/s | 197.6 KiB | 00m00s [ 70/154] Installing util-linux-core-0: 100% | 442.8 MiB/s | 6.2 MiB | 00m00s [ 71/154] Installing tar-2:1.35-3.fc40. 100% | 278.8 MiB/s | 3.1 MiB | 00m00s [ 72/154] Installing libsemanage-0:3.6- 100% | 118.2 MiB/s | 363.2 KiB | 00m00s [ 73/154] Installing shadow-utils-2:4.1 100% | 184.4 MiB/s | 7.4 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.aarch64 >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.aarch64 [ 74/154] Installing libutempter-0:1.2. 100% | 204.9 MiB/s | 419.6 KiB | 00m00s [ 75/154] Installing zip-0:3.0-40.fc40. 100% | 281.0 MiB/s | 1.1 MiB | 00m00s [ 76/154] Installing gdbm-1:1.23-6.fc40 100% | 227.8 MiB/s | 933.2 KiB | 00m00s [ 77/154] Installing cyrus-sasl-lib-0:2 100% | 310.7 MiB/s | 3.1 MiB | 00m00s [ 78/154] Installing zstd-0:1.5.6-1.fc4 100% | 338.2 MiB/s | 1.7 MiB | 00m00s [ 79/154] Installing libfdisk-0:2.40.1- 100% | 236.3 MiB/s | 483.9 KiB | 00m00s [ 80/154] Installing bzip2-0:1.0.8-18.f 100% | 210.9 MiB/s | 432.0 KiB | 00m00s [ 81/154] Installing libxml2-0:2.12.7-1 100% | 314.8 MiB/s | 2.2 MiB | 00m00s [ 82/154] Installing sqlite-libs-0:3.45 100% | 299.1 MiB/s | 1.5 MiB | 00m00s [ 83/154] Installing elfutils-default-y 100% | 340.5 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-4.fc40.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-4.fc40.noar [ 84/154] Installing ed-0:1.20.2-1.fc40 100% | 139.2 MiB/s | 285.0 KiB | 00m00s [ 85/154] Installing patch-0:2.7.6-24.f 100% | 191.4 MiB/s | 392.0 KiB | 00m00s [ 86/154] Installing cpio-0:2.15-1.fc40 100% | 203.4 MiB/s | 1.2 MiB | 00m00s [ 87/154] Installing diffutils-0:3.10-5 100% | 263.6 MiB/s | 2.1 MiB | 00m00s [ 88/154] Installing libpkgconf-0:2.1.0 100% | 194.5 MiB/s | 199.1 KiB | 00m00s [ 89/154] Installing pkgconf-0:2.1.0-1. 100% | 117.6 MiB/s | 240.8 KiB | 00m00s [ 90/154] Installing libffi-0:3.4.4-7.f 100% | 276.2 MiB/s | 282.8 KiB | 00m00s [ 91/154] Installing p11-kit-0:0.25.3-4 100% | 217.8 MiB/s | 2.8 MiB | 00m00s [ 92/154] Installing p11-kit-trust-0:0. 100% | 71.3 MiB/s | 657.2 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.aarch64 >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.aarch64 [ 93/154] Installing keyutils-libs-0:1. 100% | 111.2 MiB/s | 227.8 KiB | 00m00s [ 94/154] Installing libverto-0:0.3.2-8 100% | 194.6 MiB/s | 199.2 KiB | 00m00s [ 95/154] Installing libgomp-0:14.1.1-4 100% | 277.7 MiB/s | 568.7 KiB | 00m00s [ 96/154] Installing libbrotli-0:1.1.0- 100% | 285.1 MiB/s | 1.1 MiB | 00m00s [ 97/154] Installing libtool-ltdl-0:2.4 100% | 218.0 MiB/s | 223.3 KiB | 00m00s [ 98/154] Installing xxhash-libs-0:0.8. 100% | 208.6 MiB/s | 213.6 KiB | 00m00s [ 99/154] Installing libnghttp2-0:1.59. 100% | 257.0 MiB/s | 263.2 KiB | 00m00s [100/154] Installing pkgconf-m4-0:2.1.0 100% | 0.0 B/s | 14.3 KiB | 00m00s [101/154] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [102/154] Installing coreutils-common-0 100% | 309.8 MiB/s | 11.5 MiB | 00m00s [103/154] Installing openssl-libs-1:3.2 100% | 353.2 MiB/s | 7.8 MiB | 00m00s [104/154] Installing coreutils-0:9.4-6. 100% | 483.5 MiB/s | 20.8 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.n >>> Stop pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noar [105/154] Installing ca-certificates-0: 100% | 2.5 MiB/s | 2.3 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40. >>> Stop post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noa [106/154] Installing krb5-libs-0:1.21.2 100% | 262.0 MiB/s | 3.4 MiB | 00m00s [107/154] Installing libtirpc-0:1.3.4-1 100% | 135.0 MiB/s | 276.4 KiB | 00m00s [108/154] Installing gzip-0:1.13-1.fc40 100% | 160.9 MiB/s | 494.3 KiB | 00m00s [109/154] Installing authselect-libs-0: 100% | 132.1 MiB/s | 946.7 KiB | 00m00s [110/154] Installing libarchive-0:3.7.2 100% | 254.0 MiB/s | 1.0 MiB | 00m00s [111/154] Installing authselect-0:1.5.0 100% | 102.1 MiB/s | 313.8 KiB | 00m00s [112/154] Installing cracklib-0:2.9.11- 100% | 154.0 MiB/s | 946.0 KiB | 00m00s [113/154] Installing libpwquality-0:1.4 100% | 158.2 MiB/s | 1.1 MiB | 00m00s [114/154] Installing libnsl2-0:2.0.1-1. 100% | 108.9 MiB/s | 223.0 KiB | 00m00s [115/154] Installing pam-0:1.6.1-1.fc40 100% | 394.0 MiB/s | 11.0 MiB | 00m00s [116/154] Installing libssh-0:0.10.6-5. 100% | 189.9 MiB/s | 583.2 KiB | 00m00s [117/154] Installing rpm-sequoia-0:1.6. 100% | 300.7 MiB/s | 2.1 MiB | 00m00s [118/154] Installing rpm-libs-0:4.19.1. 100% | 281.0 MiB/s | 863.2 KiB | 00m00s [119/154] Installing libevent-0:2.1.12- 100% | 380.7 MiB/s | 1.5 MiB | 00m00s [120/154] Installing openldap-0:2.6.7-1 100% | 248.7 MiB/s | 1.0 MiB | 00m00s [121/154] Installing libcurl-0:8.6.0-8. 100% | 279.3 MiB/s | 857.9 KiB | 00m00s [122/154] Installing elfutils-libs-0:0. 100% | 326.8 MiB/s | 1.0 MiB | 00m00s [123/154] Installing elfutils-debuginfo 100% | 194.7 MiB/s | 398.7 KiB | 00m00s [124/154] Installing binutils-0:2.41-37 100% | 377.1 MiB/s | 32.8 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.41-37.fc40.aarch64 >>> Stop post-install scriptlet: binutils-0:2.41-37.fc40.aarch64 [125/154] Installing binutils-gold-0:2. 100% | 192.1 MiB/s | 3.1 MiB | 00m00s >>> Running post-install scriptlet: binutils-gold-0:2.41-37.fc40.aarch64 >>> Stop post-install scriptlet: binutils-gold-0:2.41-37.fc40.aarch64 [126/154] Installing elfutils-0:0.191-4 100% | 385.8 MiB/s | 5.0 MiB | 00m00s [127/154] Installing gdb-minimal-0:14.2 100% | 353.3 MiB/s | 12.7 MiB | 00m00s [128/154] Installing debugedit-0:5.0-14 100% | 244.9 MiB/s | 501.5 KiB | 00m00s [129/154] Installing rpm-build-libs-0:4 100% | 257.0 MiB/s | 263.2 KiB | 00m00s [130/154] Installing curl-0:8.6.0-8.fc4 100% | 70.7 MiB/s | 868.9 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 >>> Stop pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 [131/154] Installing rpm-0:4.19.1.1-1.f 100% | 156.1 MiB/s | 3.4 MiB | 00m00s [132/154] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [133/154] Installing lua-srpm-macros-0: 100% | 1.9 MiB/s | 1.9 KiB | 00m00s [134/154] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [135/154] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 768.0 B | 00m00s [136/154] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [137/154] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [138/154] Installing openblas-srpm-macr 100% | 0.0 B/s | 384.0 B | 00m00s [139/154] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [140/154] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [141/154] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [142/154] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 992.0 B | 00m00s [143/154] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [144/154] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [145/154] Installing python-srpm-macros 100% | 50.1 MiB/s | 51.3 KiB | 00m00s [146/154] Installing fonts-srpm-macros- 100% | 55.1 MiB/s | 56.5 KiB | 00m00s [147/154] Installing go-srpm-macros-0:3 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [148/154] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.3 KiB | 00m00s [149/154] Installing redhat-rpm-config- 100% | 93.6 MiB/s | 191.7 KiB | 00m00s [150/154] Installing rpm-build-0:4.19.1 100% | 301.1 MiB/s | 1.2 MiB | 00m00s [151/154] Installing pyproject-srpm-mac 100% | 1.0 MiB/s | 2.1 KiB | 00m00s [152/154] Installing util-linux-0:2.40. 100% | 389.6 MiB/s | 17.5 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc40.aarch64 >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc40.aarch64 [153/154] Installing which-0:2.21-41.fc 100% | 122.2 MiB/s | 250.3 KiB | 00m00s [154/154] Installing info-0:7.1-2.fc40. 100% | 504.8 KiB/s | 613.9 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 >>> Stop post-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 >>> Running post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.f >>> Stop post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40 >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc40.aarch64 >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc40.aarch64 >>> Running post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 >>> Stop post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 >>> Running trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 Warning: skipped PGP checks for 4 package(s). Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.27-1.fc40.aarch64 ansible-srpm-macros-1-14.fc40.noarch audit-libs-4.0.1-1.fc40.aarch64 authselect-1.5.0-5.fc40.aarch64 authselect-libs-1.5.0-5.fc40.aarch64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.aarch64 binutils-2.41-37.fc40.aarch64 binutils-gold-2.41-37.fc40.aarch64 bzip2-1.0.8-18.fc40.aarch64 bzip2-libs-1.0.8-18.fc40.aarch64 ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.4-6.fc40.aarch64 coreutils-common-9.4-6.fc40.aarch64 cpio-2.15-1.fc40.aarch64 cracklib-2.9.11-5.fc40.aarch64 crypto-policies-20240510-1.gitd287a42.fc40.noarch curl-8.6.0-8.fc40.aarch64 cyrus-sasl-lib-2.1.28-19.fc40.aarch64 debugedit-5.0-14.fc40.aarch64 diffutils-3.10-5.fc40.aarch64 dwz-0.15-6.fc40.aarch64 ed-1.20.2-1.fc40.aarch64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-4.fc40.aarch64 elfutils-debuginfod-client-0.191-4.fc40.aarch64 elfutils-default-yama-scope-0.191-4.fc40.noarch elfutils-libelf-0.191-4.fc40.aarch64 elfutils-libs-0.191-4.fc40.aarch64 fedora-gpg-keys-40-2.noarch fedora-release-40-39.noarch fedora-release-common-40-39.noarch fedora-release-identity-basic-40-39.noarch fedora-repos-40-2.noarch file-5.45-4.fc40.aarch64 file-libs-5.45-4.fc40.aarch64 filesystem-3.18-8.fc40.aarch64 findutils-4.9.0-8.fc40.aarch64 fonts-srpm-macros-2.0.5-14.fc40.noarch forge-srpm-macros-0.3.1-1.fc40.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.aarch64 gdb-minimal-14.2-2.fc40.aarch64 gdbm-1.23-6.fc40.aarch64 gdbm-libs-1.23-6.fc40.aarch64 ghc-srpm-macros-1.9-1.fc40.noarch glibc-2.39.9999-99.fc40.aarch64 glibc-common-2.39.9999-99.fc40.aarch64 glibc-gconv-extra-2.39.9999-99.fc40.aarch64 glibc-minimal-langpack-2.39.9999-99.fc40.aarch64 gmp-6.2.1-8.fc40.aarch64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.5.0-1.fc40.noarch gpg-pubkey-a15b79cc-63d04c2c grep-3.11-7.fc40.aarch64 gzip-1.13-1.fc40.aarch64 info-7.1-2.fc40.aarch64 jansson-2.13.1-9.fc40.aarch64 kernel-srpm-macros-1.0-23.fc40.noarch keyutils-libs-1.6.3-3.fc40.aarch64 krb5-libs-1.21.2-5.fc40.aarch64 libacl-2.3.2-1.fc40.aarch64 libarchive-3.7.2-4.fc40.aarch64 libattr-2.5.2-3.fc40.aarch64 libblkid-2.40.1-1.fc40.aarch64 libbrotli-1.1.0-3.fc40.aarch64 libcap-2.69-8.fc40.aarch64 libcap-ng-0.8.4-4.fc40.aarch64 libcom_err-1.47.0-5.fc40.aarch64 libcurl-8.6.0-8.fc40.aarch64 libeconf-0.6.2-2.fc40.aarch64 libevent-2.1.12-12.fc40.aarch64 libfdisk-2.40.1-1.fc40.aarch64 libffi-3.4.4-7.fc40.aarch64 libgcc-14.1.1-4.fc40.aarch64 libgomp-14.1.1-4.fc40.aarch64 libidn2-2.3.7-1.fc40.aarch64 libmount-2.40.1-1.fc40.aarch64 libnghttp2-1.59.0-3.fc40.aarch64 libnsl2-2.0.1-1.fc40.aarch64 libpkgconf-2.1.0-1.fc40.aarch64 libpsl-0.21.5-3.fc40.aarch64 libpwquality-1.4.5-9.fc40.aarch64 libselinux-3.6-4.fc40.aarch64 libsemanage-3.6-3.fc40.aarch64 libsepol-3.6-3.fc40.aarch64 libsmartcols-2.40.1-1.fc40.aarch64 libssh-0.10.6-5.fc40.aarch64 libssh-config-0.10.6-5.fc40.noarch libstdc++-14.1.1-4.fc40.aarch64 libtasn1-4.19.0-6.fc40.aarch64 libtirpc-1.3.4-1.rc3.fc40.aarch64 libtool-ltdl-2.4.7-10.fc40.aarch64 libunistring-1.1-7.fc40.aarch64 libutempter-1.2.1-13.fc40.aarch64 libuuid-2.40.1-1.fc40.aarch64 libverto-0.3.2-8.fc40.aarch64 libxcrypt-4.4.36-5.fc40.aarch64 libxml2-2.12.7-1.fc40.aarch64 libzstd-1.5.6-1.fc40.aarch64 lua-libs-5.4.6-5.fc40.aarch64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.aarch64 mpfr-4.2.1-4.fc40.aarch64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.aarch64 ocaml-srpm-macros-9-3.fc40.noarch openblas-srpm-macros-2-16.fc40.noarch openldap-2.6.7-1.fc40.aarch64 openssl-libs-3.2.1-2.fc40.aarch64 p11-kit-0.25.3-4.fc40.aarch64 p11-kit-trust-0.25.3-4.fc40.aarch64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-1.fc40.aarch64 pam-libs-1.6.1-1.fc40.aarch64 patch-2.7.6-24.fc40.aarch64 pcre2-10.42-2.fc40.2.aarch64 pcre2-syntax-10.42-2.fc40.2.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.0-1.fc40.aarch64 pkgconf-m4-2.1.0-1.fc40.noarch pkgconf-pkg-config-2.1.0-1.fc40.aarch64 popt-1.19-6.fc40.aarch64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.0-1.fc40.noarch python-srpm-macros-3.12-7.fc40.noarch qt5-srpm-macros-5.15.13-1.fc40.noarch qt6-srpm-macros-6.7.1-1.fc40.noarch readline-8.2-8.fc40.aarch64 redhat-rpm-config-286-1.fc40.noarch rpm-4.19.1.1-1.fc40.aarch64 rpm-build-4.19.1.1-1.fc40.aarch64 rpm-build-libs-4.19.1.1-1.fc40.aarch64 rpm-libs-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.6.0-3.fc40.aarch64 rust-srpm-macros-26.3-1.fc40.noarch sed-4.9-1.fc40.aarch64 setup-2.14.5-2.fc40.noarch shadow-utils-4.15.1-3.fc40.aarch64 sqlite-libs-3.45.1-2.fc40.aarch64 systemd-libs-255.7-1.fc40.aarch64 tar-1.35-3.fc40.aarch64 unzip-6.0-63.fc40.aarch64 util-linux-2.40.1-1.fc40.aarch64 util-linux-core-2.40.1-1.fc40.aarch64 which-2.21-41.fc40.aarch64 xxhash-libs-0.8.2-2.fc40.aarch64 xz-5.4.6-3.fc40.aarch64 xz-libs-5.4.6-3.fc40.aarch64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.aarch64 zlib-ng-compat-2.1.6-2.fc40.aarch64 zstd-1.5.6-1.fc40.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-40-aarch64-1717463632.115796/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-aarch64-1717463632.115796/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-1xp3zcod/cocotb/cocotb.spec) Config(child) 0 minutes 23 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm) Config(fedora-40-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1717463632.115796/root. INFO: reusing tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1717463632.115796/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-1717463632.115796/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.6.0-3.fc40.aarch64 python3-dnf-4.19.2-1.fc40.noarch yum-4.19.2-1.fc40.noarch dnf5-5.1.17-1.fc40.aarch64 dnf5-plugins-5.1.17-1.fc40.aarch64 Finish: chroot init Start: build phase for cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Start: build setup for cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Updating and loading repositories: Additional repo copr_rezso_ML 100% | 80.5 KiB/s | 1.5 KiB | 00m00s fedora 100% | 53.4 KiB/s | 5.0 KiB | 00m00s updates 100% | 49.3 KiB/s | 3.8 KiB | 00m00s Copr repository 100% | 102.0 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 63.4 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.7 MiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: gcc-c++ aarch64 14.1.1-4.fc40 updates 35.0 MiB git aarch64 2.45.1-1.fc40 updates 85.2 KiB make aarch64 1:4.4.1-6.fc40 fedora 1.8 MiB python3-devel aarch64 3.12.3-2.fc40 updates 1.2 MiB python3-setuptools noarch 69.0.3-3.fc40 fedora 7.1 MiB Installing dependencies: annobin-docs noarch 12.51-1.fc40 updates 95.7 KiB annobin-plugin-gcc aarch64 12.51-1.fc40 updates 1.1 MiB cpp aarch64 14.1.1-4.fc40 updates 31.8 MiB expat aarch64 2.6.2-1.fc40 updates 536.7 KiB gc aarch64 8.2.2-6.fc40 fedora 850.3 KiB gcc aarch64 14.1.1-4.fc40 updates 93.6 MiB gcc-plugin-annobin aarch64 14.1.1-4.fc40 updates 197.0 KiB git-core aarch64 2.45.1-1.fc40 updates 22.6 MiB git-core-doc noarch 2.45.1-1.fc40 updates 16.9 MiB glibc-devel aarch64 2.39.9999-99.fc40 copr_rezso_ML 2.2 MiB groff-base aarch64 1.23.0-6.fc40 fedora 5.4 MiB guile30 aarch64 3.0.7-12.fc40 fedora 52.0 MiB kernel-headers aarch64 6.8.3-300.fc40 fedora 6.1 MiB less aarch64 643-4.fc40 fedora 800.3 KiB libasan aarch64 14.1.1-4.fc40 updates 1.6 MiB libatomic aarch64 14.1.1-4.fc40 updates 196.9 KiB libb2 aarch64 0.98.1-11.fc40 fedora 202.1 KiB libcbor aarch64 0.11.0-1.fc40 fedora 201.9 KiB libedit aarch64 3.1-51.20240517cvs.fc40 updates 343.9 KiB libfido2 aarch64 1.14.0-4.fc40 fedora 341.9 KiB libmpc aarch64 1.3.1-5.fc40 fedora 280.7 KiB libstdc++-devel aarch64 14.1.1-4.fc40 updates 15.1 MiB libubsan aarch64 14.1.1-4.fc40 updates 539.2 KiB libxcrypt-devel aarch64 4.4.36-5.fc40 fedora 30.3 KiB mpdecimal aarch64 2.5.1-9.fc40 fedora 328.7 KiB ncurses aarch64 6.4-12.20240127.fc40 fedora 1.7 MiB openssh aarch64 9.6p1-1.fc40.2 fedora 2.0 MiB openssh-clients aarch64 9.6p1-1.fc40.2 fedora 3.5 MiB perl-AutoLoader noarch 5.74-506.fc40 fedora 20.5 KiB perl-B aarch64 1.88-506.fc40 fedora 604.3 KiB perl-Carp noarch 1.54-502.fc40 fedora 46.5 KiB perl-Class-Struct noarch 0.68-506.fc40 fedora 25.4 KiB perl-Data-Dumper aarch64 2.188-503.fc40 fedora 263.6 KiB perl-Digest noarch 1.20-502.fc40 fedora 35.2 KiB perl-Digest-MD5 aarch64 2.59-3.fc40 fedora 231.7 KiB perl-DynaLoader aarch64 1.54-506.fc40 fedora 32.1 KiB perl-Encode aarch64 4:3.21-505.fc40 fedora 10.9 MiB perl-Errno aarch64 1.37-506.fc40 fedora 8.4 KiB perl-Error noarch 1:0.17029-15.fc40 fedora 77.2 KiB perl-Exporter noarch 5.78-3.fc40 fedora 54.2 KiB perl-Fcntl aarch64 1.15-506.fc40 fedora 200.6 KiB perl-File-Basename noarch 2.86-506.fc40 fedora 14.0 KiB perl-File-Find noarch 1.43-506.fc40 fedora 41.9 KiB perl-File-Path noarch 2.18-503.fc40 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 fedora 162.3 KiB perl-File-stat noarch 1.13-506.fc40 fedora 12.7 KiB perl-FileHandle noarch 2.05-506.fc40 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.57-3.fc40 fedora 144.1 KiB perl-Getopt-Std noarch 1.13-506.fc40 fedora 11.1 KiB perl-Git noarch 2.45.1-1.fc40 updates 64.0 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 fedora 152.1 KiB perl-IO aarch64 1.52-506.fc40 fedora 319.0 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 fedora 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 fedora 685.0 KiB perl-IPC-Open3 noarch 1.22-506.fc40 fedora 22.4 KiB perl-MIME-Base64 aarch64 3.16-503.fc40 fedora 222.0 KiB perl-Mozilla-CA noarch 20231213-3.fc40 fedora 9.1 KiB perl-Net-SSLeay aarch64 1.94-3.fc40 fedora 1.4 MiB perl-POSIX aarch64 2.13-506.fc40 fedora 325.0 KiB perl-PathTools aarch64 3.89-502.fc40 fedora 351.6 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 fedora 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 fedora 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc40 updates 84.7 KiB perl-Scalar-List-Utils aarch64 5:1.63-503.fc40 fedora 277.4 KiB perl-SelectSaver noarch 1.02-506.fc40 fedora 2.2 KiB perl-Socket aarch64 4:2.038-1.fc40 updates 272.0 KiB perl-Storable aarch64 1:3.32-502.fc40 fedora 372.3 KiB perl-Symbol noarch 1.09-506.fc40 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 fedora 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 fedora 29.3 KiB perl-TermReadKey aarch64 2.38-21.fc40 fedora 236.0 KiB perl-Text-ParseWords noarch 3.31-502.fc40 fedora 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc40 fedora 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 fedora 68.9 KiB perl-URI noarch 5.28-1.fc40 updates 240.2 KiB perl-base noarch 2.27-506.fc40 fedora 12.5 KiB perl-constant noarch 1.33-503.fc40 fedora 26.2 KiB perl-if noarch 0.61.000-506.fc40 fedora 5.8 KiB perl-interpreter aarch64 4:5.38.2-506.fc40 fedora 299.7 KiB perl-lib aarch64 0.65-506.fc40 fedora 8.5 KiB perl-libnet noarch 3.15-503.fc40 fedora 289.0 KiB perl-libs aarch64 4:5.38.2-506.fc40 fedora 11.2 MiB perl-locale noarch 1.10-506.fc40 fedora 6.2 KiB perl-mro aarch64 1.28-506.fc40 fedora 209.6 KiB perl-overload noarch 1.37-506.fc40 fedora 71.5 KiB perl-overloading noarch 0.02-506.fc40 fedora 4.8 KiB perl-parent noarch 1:0.241-502.fc40 fedora 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 fedora 308.1 KiB perl-vars noarch 1.05-506.fc40 fedora 3.9 KiB pyproject-rpm-macros noarch 1.12.0-1.fc40 fedora 98.8 KiB python-pip-wheel noarch 23.3.2-1.fc40 fedora 1.5 MiB python-rpm-macros noarch 3.12-7.fc40 fedora 22.1 KiB python3 aarch64 3.12.3-2.fc40 updates 211.4 KiB python3-libs aarch64 3.12.3-2.fc40 updates 51.2 MiB python3-packaging noarch 23.2-4.fc40 fedora 421.1 KiB python3-rpm-generators noarch 14-10.fc40 fedora 81.7 KiB python3-rpm-macros noarch 3.12-7.fc40 fedora 6.4 KiB tzdata noarch 2024a-5.fc40 updates 1.6 MiB Transaction Summary: Installing: 104 packages Total size of inbound packages is 105 MiB. Need to download 73 MiB. After this operation 392 MiB will be used (install 392 MiB, remove 0 B). [ 1/104] python3-setuptools-0:69.0.3-3 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 2/104] git-0:2.45.1-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/104] python3-devel-0:3.12.3-2.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/104] perl-File-Basename-0:2.86-506 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/104] perl-File-Find-0:1.43-506.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/104] perl-Getopt-Long-1:2.57-3.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/104] perl-IPC-Open3-0:1.22-506.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/104] perl-PathTools-0:3.89-502.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/104] perl-TermReadKey-0:2.38-21.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 10/104] perl-interpreter-4:5.38.2-506 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 11/104] perl-lib-0:0.65-506.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 12/104] perl-libs-4:5.38.2-506.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 13/104] git-core-0:2.45.1-1.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 14/104] git-core-doc-0:2.45.1-1.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 15/104] perl-Git-0:2.45.1-1.fc40.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 16/104] python3-0:3.12.3-2.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 17/104] python3-libs-0:3.12.3-2.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 18/104] perl-Carp-0:1.54-502.fc40.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 19/104] perl-Exporter-0:5.78-3.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 20/104] perl-Text-ParseWords-0:3.31-5 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 21/104] perl-base-0:2.27-506.fc40.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 22/104] perl-constant-0:1.33-503.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 23/104] perl-overload-0:1.37-506.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 24/104] perl-Fcntl-0:1.15-506.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 25/104] perl-IO-0:1.52-506.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 26/104] perl-POSIX-0:2.13-506.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 27/104] perl-Symbol-0:1.09-506.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 28/104] perl-Errno-0:1.37-506.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 29/104] perl-Scalar-List-Utils-5:1.63 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 30/104] perl-DynaLoader-0:1.54-506.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/104] perl-vars-0:1.05-506.fc40.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/104] perl-Encode-4:3.21-505.fc40.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/104] less-0:643-4.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/104] openssh-clients-0:9.6p1-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/104] perl-Error-1:0.17029-15.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/104] libb2-0:0.98.1-11.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/104] mpdecimal-0:2.5.1-9.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/104] python-pip-wheel-0:23.3.2-1.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/104] perl-mro-0:1.28-506.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/104] perl-overloading-0:0.02-506.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/104] perl-File-stat-0:1.13-506.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/104] perl-SelectSaver-0:1.02-506.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/104] perl-locale-0:1.10-506.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/104] perl-Getopt-Std-0:1.13-506.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/104] perl-MIME-Base64-0:3.16-503.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/104] perl-Storable-1:3.32-502.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/104] perl-parent-1:0.241-502.fc40. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/104] libfido2-0:1.14.0-4.fc40.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/104] openssh-0:9.6p1-1.fc40.2.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/104] perl-Class-Struct-0:0.68-506. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/104] libcbor-0:0.11.0-1.fc40.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/104] pyproject-rpm-macros-0:1.12.0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/104] python-rpm-macros-0:3.12-7.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/104] python3-rpm-generators-0:14-1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/104] python3-rpm-macros-0:3.12-7.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/104] python3-packaging-0:23.2-4.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/104] expat-0:2.6.2-1.fc40.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/104] tzdata-0:2024a-5.fc40.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/104] perl-Socket-4:2.038-1.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/104] libedit-0:3.1-51.20240517cvs. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/104] perl-Pod-Usage-4:2.03-504.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/104] perl-Pod-Perldoc-0:3.28.01-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/104] perl-podlators-1:5.01-502.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/104] groff-base-0:1.23.0-6.fc40.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/104] perl-File-Temp-1:0.231.100-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/104] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/104] perl-Pod-Simple-1:3.45-6.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/104] perl-Term-ANSIColor-0:5.01-50 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/104] perl-Term-Cap-0:1.18-503.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/104] perl-File-Path-0:2.18-503.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/104] perl-IO-Socket-SSL-0:2.085-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/104] perl-Mozilla-CA-0:20231213-3. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/104] perl-Net-SSLeay-0:1.94-3.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/104] perl-Time-Local-2:1.350-5.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/104] perl-Pod-Escapes-1:1.07-503.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/104] perl-Text-Tabs+Wrap-0:2024.00 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/104] perl-if-0:0.61.000-506.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/104] ncurses-0:6.4-12.20240127.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/104] perl-IO-Socket-IP-0:0.42-2.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/104] perl-AutoLoader-0:5.74-506.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/104] perl-URI-0:5.28-1.fc40.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/104] perl-Data-Dumper-0:2.188-503. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/104] perl-libnet-0:3.15-503.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/104] perl-B-0:1.88-506.fc40.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/104] perl-Digest-MD5-0:2.59-3.fc40 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/104] perl-FileHandle-0:2.05-506.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/104] perl-Digest-0:1.20-502.fc40.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/104] make-1:4.4.1-6.fc40.aarch64 100% | 38.3 MiB/s | 587.7 KiB | 00m00s [ 89/104] libmpc-0:1.3.1-5.fc40.aarch64 100% | 23.6 MiB/s | 72.4 KiB | 00m00s [ 90/104] guile30-0:3.0.7-12.fc40.aarch 100% | 177.2 MiB/s | 8.2 MiB | 00m00s [ 91/104] gcc-0:14.1.1-4.fc40.aarch64 100% | 250.8 MiB/s | 33.9 MiB | 00m00s [ 92/104] libstdc++-devel-0:14.1.1-4.fc 100% | 23.2 MiB/s | 2.7 MiB | 00m00s [ 93/104] gc-0:8.2.2-6.fc40.aarch64 100% | 21.4 MiB/s | 109.7 KiB | 00m00s [ 94/104] glibc-devel-0:2.39.9999-99.fc 100% | 47.1 MiB/s | 530.4 KiB | 00m00s [ 95/104] cpp-0:14.1.1-4.fc40.aarch64 100% | 254.7 MiB/s | 10.7 MiB | 00m00s [ 96/104] kernel-headers-0:6.8.3-300.fc 100% | 45.9 MiB/s | 1.6 MiB | 00m00s [ 97/104] libxcrypt-devel-0:4.4.36-5.fc 100% | 9.3 MiB/s | 28.6 KiB | 00m00s [ 98/104] gcc-c++-0:14.1.1-4.fc40.aarch 100% | 56.6 MiB/s | 12.9 MiB | 00m00s [ 99/104] gcc-plugin-annobin-0:14.1.1-4 100% | 2.7 MiB/s | 50.5 KiB | 00m00s [100/104] annobin-docs-0:12.51-1.fc40.n 100% | 12.4 MiB/s | 89.2 KiB | 00m00s [101/104] annobin-plugin-gcc-0:12.51-1. 100% | 37.5 MiB/s | 960.2 KiB | 00m00s [102/104] libasan-0:14.1.1-4.fc40.aarch 100% | 69.0 MiB/s | 494.2 KiB | 00m00s [103/104] libatomic-0:14.1.1-4.fc40.aar 100% | 7.7 MiB/s | 39.4 KiB | 00m00s [104/104] libubsan-0:14.1.1-4.fc40.aarc 100% | 69.5 MiB/s | 213.4 KiB | 00m00s -------------------------------------------------------------------------------- [104/104] Total 100% | 103.6 MiB/s | 73.0 MiB | 00m01s Running transaction [ 1/106] Verify package files 100% | 250.0 B/s | 104.0 B | 00m00s [ 2/106] Prepare transaction 100% | 1.2 KiB/s | 104.0 B | 00m00s [ 3/106] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 4/106] Installing libmpc-0:1.3.1-5.f 100% | 137.8 MiB/s | 282.2 KiB | 00m00s [ 5/106] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 6/106] Installing expat-0:2.6.2-1.fc 100% | 263.1 MiB/s | 538.8 KiB | 00m00s [ 7/106] Installing pyproject-rpm-macr 100% | 98.4 MiB/s | 100.8 KiB | 00m00s [ 8/106] Installing cpp-0:14.1.1-4.fc4 100% | 324.4 MiB/s | 31.8 MiB | 00m00s [ 9/106] Installing ncurses-0:6.4-12.2 100% | 129.4 MiB/s | 1.7 MiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 [ 10/106] Installing groff-base-0:1.23. 100% | 185.7 MiB/s | 5.4 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 [ 11/106] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 12/106] Installing perl-B-0:1.88-506. 100% | 197.8 MiB/s | 607.7 KiB | 00m00s [ 13/106] Installing perl-FileHandle-0: 100% | 9.5 MiB/s | 9.8 KiB | 00m00s [ 14/106] Installing perl-Digest-MD5-0: 100% | 228.2 MiB/s | 233.6 KiB | 00m00s [ 15/106] Installing perl-Data-Dumper-0 100% | 129.7 MiB/s | 265.5 KiB | 00m00s [ 16/106] Installing perl-libnet-0:3.15 100% | 143.7 MiB/s | 294.3 KiB | 00m00s [ 17/106] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 18/106] Installing perl-URI-0:5.28-1. 100% | 82.0 MiB/s | 251.8 KiB | 00m00s [ 19/106] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [ 20/106] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 21/106] Installing perl-Mozilla-CA-0: 100% | 9.9 MiB/s | 10.2 KiB | 00m00s [ 22/106] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [ 23/106] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 24/106] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.8 KiB | 00m00s [ 25/106] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 26/106] Installing perl-IO-Socket-IP- 100% | 49.0 MiB/s | 100.4 KiB | 00m00s [ 27/106] Installing perl-Net-SSLeay-0: 100% | 204.7 MiB/s | 1.4 MiB | 00m00s [ 28/106] Installing perl-IO-Socket-SSL 100% | 224.3 MiB/s | 689.0 KiB | 00m00s [ 29/106] Installing perl-POSIX-0:2.13- 100% | 159.3 MiB/s | 326.3 KiB | 00m00s [ 30/106] Installing perl-Class-Struct- 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 31/106] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 32/106] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [ 33/106] Installing perl-Term-Cap-0:1. 100% | 29.8 MiB/s | 30.5 KiB | 00m00s [ 34/106] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [ 35/106] Installing perl-Pod-Simple-1: 100% | 185.4 MiB/s | 569.4 KiB | 00m00s [ 36/106] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 37/106] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 38/106] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 39/106] Installing perl-File-stat-0:1 100% | 12.9 MiB/s | 13.2 KiB | 00m00s [ 40/106] Installing perl-Socket-4:2.03 100% | 133.8 MiB/s | 274.0 KiB | 00m00s [ 41/106] Installing perl-podlators-1:5 100% | 152.4 MiB/s | 312.1 KiB | 00m00s [ 42/106] Installing perl-Pod-Perldoc-0 100% | 82.3 MiB/s | 168.6 KiB | 00m00s [ 43/106] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.5 KiB | 00m00s [ 44/106] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 45/106] Installing perl-Fcntl-0:1.15- 100% | 197.0 MiB/s | 201.7 KiB | 00m00s [ 46/106] Installing perl-mro-0:1.28-50 100% | 205.8 MiB/s | 210.7 KiB | 00m00s [ 47/106] Installing perl-overloading-0 100% | 5.4 MiB/s | 5.5 KiB | 00m00s [ 48/106] Installing perl-IO-0:1.52-506 100% | 157.8 MiB/s | 323.3 KiB | 00m00s [ 49/106] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [ 50/106] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [ 51/106] Installing perl-Errno-0:1.37- 100% | 8.6 MiB/s | 8.8 KiB | 00m00s [ 52/106] Installing perl-Scalar-List-U 100% | 137.1 MiB/s | 280.7 KiB | 00m00s [ 53/106] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 54/106] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [ 55/106] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.6 KiB | 00m00s [ 56/106] Installing perl-MIME-Base64-0 100% | 219.0 MiB/s | 224.3 KiB | 00m00s [ 57/106] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [ 58/106] Installing perl-Storable-1:3. 100% | 182.6 MiB/s | 373.9 KiB | 00m00s [ 59/106] Installing perl-Getopt-Long-1 100% | 143.3 MiB/s | 146.7 KiB | 00m00s [ 60/106] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 61/106] Installing perl-Carp-0:1.54-5 100% | 46.5 MiB/s | 47.7 KiB | 00m00s [ 62/106] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [ 63/106] Installing perl-PathTools-0:3 100% | 173.9 MiB/s | 356.1 KiB | 00m00s [ 64/106] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 65/106] Installing perl-Encode-4:3.21 100% | 376.0 MiB/s | 10.9 MiB | 00m00s [ 66/106] Installing perl-libs-4:5.38.2 100% | 231.8 MiB/s | 11.4 MiB | 00m00s [ 67/106] Installing perl-interpreter-4 100% | 294.3 MiB/s | 301.3 KiB | 00m00s [ 68/106] Installing perl-File-Find-0:1 100% | 41.4 MiB/s | 42.4 KiB | 00m00s [ 69/106] Installing perl-TermReadKey-0 100% | 232.6 MiB/s | 238.2 KiB | 00m00s [ 70/106] Installing perl-lib-0:0.65-50 100% | 0.0 B/s | 8.9 KiB | 00m00s [ 71/106] Installing perl-Error-1:0.170 100% | 78.5 MiB/s | 80.4 KiB | 00m00s [ 72/106] Installing libedit-0:3.1-51.2 100% | 168.7 MiB/s | 345.5 KiB | 00m00s [ 73/106] Installing libubsan-0:14.1.1- 100% | 263.7 MiB/s | 540.1 KiB | 00m00s [ 74/106] Installing libatomic-0:14.1.1 100% | 193.1 MiB/s | 197.8 KiB | 00m00s [ 75/106] Installing libasan-0:14.1.1-4 100% | 320.5 MiB/s | 1.6 MiB | 00m00s [ 76/106] Installing annobin-docs-0:12. 100% | 18.9 MiB/s | 96.8 KiB | 00m00s [ 77/106] Installing tzdata-0:2024a-5.f 100% | 38.8 MiB/s | 1.9 MiB | 00m00s [ 78/106] Installing kernel-headers-0:6 100% | 165.0 MiB/s | 6.3 MiB | 00m00s [ 79/106] Installing libxcrypt-devel-0: 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [ 80/106] Installing glibc-devel-0:2.39 100% | 131.9 MiB/s | 2.2 MiB | 00m00s [ 81/106] Installing libcbor-0:0.11.0-1 100% | 198.5 MiB/s | 203.3 KiB | 00m00s [ 82/106] Installing libfido2-0:1.14.0- 100% | 167.7 MiB/s | 343.4 KiB | 00m00s [ 83/106] Installing openssh-0:9.6p1-1. 100% | 332.0 MiB/s | 2.0 MiB | 00m00s [ 84/106] Installing openssh-clients-0: 100% | 233.7 MiB/s | 3.5 MiB | 00m00s >>> Running post-install scriptlet: openssh-clients-0:9.6p1-1.fc40.2.aarch64 >>> Stop post-install scriptlet: openssh-clients-0:9.6p1-1.fc40.2.aarch64 [ 85/106] Installing python-pip-wheel-0 100% | 506.6 MiB/s | 1.5 MiB | 00m00s [ 86/106] Installing mpdecimal-0:2.5.1- 100% | 322.1 MiB/s | 329.8 KiB | 00m00s [ 87/106] Installing libb2-0:0.98.1-11. 100% | 28.4 MiB/s | 203.2 KiB | 00m00s [ 88/106] Installing python3-libs-0:3.1 100% | 308.8 MiB/s | 51.6 MiB | 00m00s [ 89/106] Installing python3-0:3.12.3-2 100% | 208.2 MiB/s | 213.2 KiB | 00m00s [ 90/106] Installing python3-packaging- 100% | 140.6 MiB/s | 431.9 KiB | 00m00s [ 91/106] Installing python3-rpm-genera 100% | 81.0 MiB/s | 82.9 KiB | 00m00s [ 92/106] Installing less-0:643-4.fc40. 100% | 261.6 MiB/s | 803.6 KiB | 00m00s [ 93/106] Installing git-core-0:2.45.1- 100% | 370.9 MiB/s | 22.6 MiB | 00m00s [ 94/106] Installing git-core-doc-0:2.4 100% | 288.9 MiB/s | 17.0 MiB | 00m00s [ 95/106] Installing perl-Git-0:2.45.1- 100% | 63.5 MiB/s | 65.0 KiB | 00m00s [ 96/106] Installing git-0:2.45.1-1.fc4 100% | 85.4 MiB/s | 87.4 KiB | 00m00s [ 97/106] Installing gc-0:8.2.2-6.fc40. 100% | 208.2 MiB/s | 852.9 KiB | 00m00s [ 98/106] Installing guile30-0:3.0.7-12 100% | 392.1 MiB/s | 52.1 MiB | 00m00s [ 99/106] Installing make-1:4.4.1-6.fc4 100% | 205.6 MiB/s | 1.9 MiB | 00m00s [100/106] Installing gcc-0:14.1.1-4.fc4 100% | 367.1 MiB/s | 93.6 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch [101/106] Installing libstdc++-devel-0: 100% | 287.3 MiB/s | 15.2 MiB | 00m00s [102/106] Installing gcc-c++-0:14.1.1-4 100% | 346.9 MiB/s | 35.0 MiB | 00m00s [103/106] Installing gcc-plugin-annobin 100% | 12.9 MiB/s | 198.5 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch [104/106] Installing annobin-plugin-gcc 100% | 60.3 MiB/s | 1.1 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch [105/106] Installing python3-devel-0:3. 100% | 106.4 MiB/s | 1.3 MiB | 00m00s [106/106] Installing python3-setuptools 100% | 35.1 MiB/s | 7.3 MiB | 00m00s >>> Running trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 Warning: skipped PGP checks for 1 package(s). Finish: build setup for cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Start: rpmbuild cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1640217600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.cFdENU + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf cocotb + /usr/bin/mkdir -p cocotb + cd cocotb + rm -rf /builddir/build/BUILD/cocotb-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/cocotb/cocotb.git . Cloning into '.'... + git fetch --depth 1 origin 3bcec2469df1b9338cfef9d7fe717724be0dad78 From https://github.com/cocotb/cocotb * branch 3bcec2469df1b9338cfef9d7fe717724be0dad78 -> FETCH_HEAD + git reset --hard 3bcec2469df1b9338cfef9d7fe717724be0dad78 HEAD is now at 3bcec24 Fix Sphinx reported errors + git --no-pager log --format=fuller commit 3bcec2469df1b9338cfef9d7fe717724be0dad78 Author: teobiton AuthorDate: Wed May 29 20:05:24 2024 +0200 Commit: Kaleb Barrett CommitDate: Thu May 30 10:20:07 2024 -0600 Fix Sphinx reported errors + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.KHRdAc + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + sed -i /-rpath/d cocotb_build_libs.py + sed -i 's|"-static-libstdc++"||g' cocotb_build_libs.py + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib.linux-aarch64-cpython-312 creating build/lib.linux-aarch64-cpython-312/pygpi copying src/pygpi/entry.py -> build/lib.linux-aarch64-cpython-312/pygpi copying src/pygpi/__init__.py -> build/lib.linux-aarch64-cpython-312/pygpi creating build/lib.linux-aarch64-cpython-312/cocotb_tools copying src/cocotb_tools/runner.py -> build/lib.linux-aarch64-cpython-312/cocotb_tools copying src/cocotb_tools/config.py -> build/lib.linux-aarch64-cpython-312/cocotb_tools copying src/cocotb_tools/combine_results.py -> build/lib.linux-aarch64-cpython-312/cocotb_tools copying src/cocotb_tools/__init__.py -> build/lib.linux-aarch64-cpython-312/cocotb_tools creating build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_version.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/utils.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/triggers.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/task.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/runner.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/result.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/regression.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/queue.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/logging.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/ipython_support.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/handle.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/decorators.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/config.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/clock.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_xunit_reporter.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_sim_versions.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_scheduler.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_py_compat.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_outcomes.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_deprecation.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/__init__.py -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/_ANSI.py -> build/lib.linux-aarch64-cpython-312/cocotb creating build/lib.linux-aarch64-cpython-312/cocotb/types copying src/cocotb/types/range.py -> build/lib.linux-aarch64-cpython-312/cocotb/types copying src/cocotb/types/logic_array.py -> build/lib.linux-aarch64-cpython-312/cocotb/types copying src/cocotb/types/logic.py -> build/lib.linux-aarch64-cpython-312/cocotb/types copying src/cocotb/types/array.py -> build/lib.linux-aarch64-cpython-312/cocotb/types copying src/cocotb/types/__init__.py -> build/lib.linux-aarch64-cpython-312/cocotb/types creating build/lib.linux-aarch64-cpython-312/cocotb/_vendor copying src/cocotb/_vendor/distutils_version.py -> build/lib.linux-aarch64-cpython-312/cocotb/_vendor copying src/cocotb/_vendor/__init__.py -> build/lib.linux-aarch64-cpython-312/cocotb/_vendor copying src/pygpi/py.typed -> build/lib.linux-aarch64-cpython-312/pygpi creating build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.sim -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.inc -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.deprecations -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles creating build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.xcelium -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.verilator -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.vcs -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.riviera -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.questa -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.nvc -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.modelsim -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ius -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.icarus -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ghdl -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.cvc -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.activehdl -> build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb/simulator.pyi -> build/lib.linux-aarch64-cpython-312/cocotb copying src/cocotb/py.typed -> build/lib.linux-aarch64-cpython-312/cocotb creating build/lib.linux-aarch64-cpython-312/cocotb/share creating build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/vpi_user_ext.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/py_gpi_logging.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/gpi_logging.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/gpi.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/exports.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/embed.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include copying src/cocotb/share/include/cocotb_utils.h -> build/lib.linux-aarch64-cpython-312/cocotb/share/include creating build/lib.linux-aarch64-cpython-312/cocotb/share/def copying src/cocotb/share/def/modelsim.def -> build/lib.linux-aarch64-cpython-312/cocotb/share/def copying src/cocotb/share/def/icarus.def -> build/lib.linux-aarch64-cpython-312/cocotb/share/def copying src/cocotb/share/def/ghdl.def -> build/lib.linux-aarch64-cpython-312/cocotb/share/def copying src/cocotb/share/def/aldec.def -> build/lib.linux-aarch64-cpython-312/cocotb/share/def copying src/cocotb/share/def/README.md -> build/lib.linux-aarch64-cpython-312/cocotb/share/def copying src/cocotb/share/def/.gitignore -> build/lib.linux-aarch64-cpython-312/cocotb/share/def creating build/lib.linux-aarch64-cpython-312/cocotb/share/lib creating build/lib.linux-aarch64-cpython-312/cocotb/share/lib/verilator copying src/cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-aarch64-cpython-312/cocotb/share/lib/verilator running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-aarch64-cpython-312 creating build/temp.linux-aarch64-cpython-312/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto creating build/lib.linux-aarch64-cpython-312/cocotb/libs g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libgpilog.so -flto building 'cocotb/libs/libpygpilog' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DPYGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/py_gpi_log/py_gpi_logging.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libpygpilog.so -flto building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBUTILS_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -ldl -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils.so -flto building 'cocotb/libs/libembed' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTB_EMBED_EXPORTS= -DPYTHON_LIB=libpython3.12.so -D__STDC_FORMAT_MACROS= -DEMBED_IMPL_LIB=libcocotb.so -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/embed/embed.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libembed.so -flto building 'cocotb/libs/libgpi' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lembed -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libgpi.so -flto building 'cocotb/libs/libcocotb' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void set_program_name_in_venv()’: src/cocotb/share/lib/embed/gpi_embed.cpp:110:22: warning: ‘void Py_SetProgramName(const wchar_t*)’ is deprecated [-Wdeprecated-declarations] 110 | Py_SetProgramName(venv_path_w); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ In file included from /usr/include/python3.12/Python.h:94, from src/cocotb/share/lib/embed/gpi_embed.cpp:32: /usr/include/python3.12/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void _embed_init_python()’: src/cocotb/share/lib/embed/gpi_embed.cpp:147:20: warning: ‘void PySys_SetArgvEx(int, wchar_t**, int)’ is deprecated [-Wdeprecated-declarations] 147 | PySys_SetArgvEx(1, argv, 0); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/python3.12/Python.h:96: /usr/include/python3.12/sysmodule.h:14:38: note: declared here 14 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) PySys_SetArgvEx(int, wchar_t **, int); | ^~~~~~~~~~~~~~~ g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpygpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotb.so -flto building 'cocotb/simulator' extension creating build/temp.linux-aarch64-cpython-312/cocotb/simulator creating build/temp.linux-aarch64-cpython-312/cocotb/simulator/src creating build/temp.linux-aarch64-cpython-312/cocotb/simulator/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/simulator/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/simulator/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lgpi -lpygpilog -o build/lib.linux-aarch64-cpython-312/cocotb/simulator.cpython-312-aarch64-linux-gnu.so -flto building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus.vpl -flto building 'cocotb/libs/libcocotbvpi_modelsim' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim.so -flto building 'cocotb/libs/libcocotbvhpi_modelsim' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim.so -flto building 'cocotb/libs/libcocotbfli_modelsim' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliObjHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim.so -flto building 'cocotb/libs/libcocotbvpi_ghdl' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl.so -flto building 'cocotb/libs/libcocotbvpi_ius' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius.so -flto building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius.so -flto building 'cocotb/libs/libcocotbvpi_vcs' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs.so -flto building 'cocotb/libs/libcocotbvpi_aldec' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec.so -flto building 'cocotb/libs/libcocotbvhpi_aldec' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec.so -flto building 'cocotb/libs/libcocotbvpi_verilator' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator.so -flto building 'cocotb/libs/libcocotbvhpi_nvc' extension creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib creating build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fexceptions -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-aarch64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc.so -flto + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.P01HlX + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 ++ dirname /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 --prefix /usr running install /usr/lib/python3.12/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64 creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12 creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/simulator.cpython-312-aarch64-linux-gnu.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_nvc.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbfli_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotb.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libgpi.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libembed.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libpygpilog.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-aarch64-cpython-312/cocotb/libs/libgpilog.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/lib creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/lib/verilator copying build/lib.linux-aarch64-cpython-312/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-312/cocotb/share/def/.gitignore -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-312/cocotb/share/def/README.md -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-312/cocotb/share/def/aldec.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-312/cocotb/share/def/ghdl.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-312/cocotb/share/def/icarus.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-aarch64-cpython-312/cocotb/share/def/modelsim.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/def creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/embed.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/exports.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/gpi.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/py_gpi_logging.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-aarch64-cpython-312/cocotb/py.typed -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/simulator.pyi -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_vendor copying build/lib.linux-aarch64-cpython-312/cocotb/_vendor/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_vendor copying build/lib.linux-aarch64-cpython-312/cocotb/_vendor/distutils_version.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_vendor creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-312/cocotb/types/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-312/cocotb/types/array.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-312/cocotb/types/logic.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-312/cocotb/types/logic_array.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-312/cocotb/types/range.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-aarch64-cpython-312/cocotb/_ANSI.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_deprecation.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_outcomes.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_py_compat.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_scheduler.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_sim_versions.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_xunit_reporter.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/clock.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/config.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/decorators.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/handle.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/ipython_support.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/logging.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/queue.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/regression.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/result.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/runner.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/task.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/triggers.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/utils.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-aarch64-cpython-312/cocotb/_version.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.ius -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.questa -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/Makefile.deprecations -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/Makefile.inc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-aarch64-cpython-312/cocotb_tools/makefiles/Makefile.sim -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-aarch64-cpython-312/cocotb_tools/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-312/cocotb_tools/combine_results.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-312/cocotb_tools/config.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-aarch64-cpython-312/cocotb_tools/runner.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-aarch64-cpython-312/pygpi/py.typed -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-aarch64-cpython-312/pygpi/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-aarch64-cpython-312/pygpi/entry.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/pygpi byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_vendor/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_vendor/distutils_version.py to distutils_version.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types/array.py to array.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types/logic.py to logic.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types/logic_array.py to logic_array.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/types/range.py to range.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_ANSI.py to _ANSI.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_deprecation.py to _deprecation.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_outcomes.py to _outcomes.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_py_compat.py to _py_compat.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_scheduler.py to _scheduler.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_sim_versions.py to _sim_versions.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_xunit_reporter.py to _xunit_reporter.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/clock.py to clock.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/config.py to config.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/decorators.py to decorators.cpython-312.pyc /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/handle.py to handle.cpython-312.pyc /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/ipython_support.py to ipython_support.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/logging.py to logging.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/queue.py to queue.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/regression.py to regression.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/result.py to result.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/runner.py to runner.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/task.py to task.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/triggers.py to triggers.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/utils.py to utils.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/_version.py to _version.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/combine_results.py to combine_results.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/config.py to config.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb_tools/runner.py to runner.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/pygpi/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/pygpi/entry.py to entry.cpython-312.pyc writing byte-compilation script '/tmp/tmp55eevc1j.py' /usr/bin/python3 /tmp/tmp55eevc1j.py /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' removing /tmp/tmp55eevc1j.py running install_egg_info running egg_info creating src/cocotb.egg-info writing src/cocotb.egg-info/PKG-INFO writing dependency_links to src/cocotb.egg-info/dependency_links.txt writing entry points to src/cocotb.egg-info/entry_points.txt writing requirements to src/cocotb.egg-info/requires.txt writing top-level names to src/cocotb.egg-info/top_level.txt writing manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'src/cocotb.egg-info/SOURCES.txt' Copying src/cocotb.egg-info to /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb-2.0.0.dev0+3bcec24-py3.12.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/bin + rm -rfv /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 1.8.1-20240530.0.git3bcec246.fc40 --unique-debug-suffix -1.8.1-20240530.0.git3bcec246.fc40.aarch64 --unique-debug-src-base cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb find-debuginfo: starting Extracting debug info from 19 files DWARF-compressing 19 files sepdebugcrcfix: Updated 19 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 1564 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 Bytecompiling .py files below /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12 using python3.12 Bytecompiling .py files below /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12 using python3.12 /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:569: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:582: SyntaxWarning: invalid escape sequence '\ ' + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: cocotb-python3-1.8.1-20240530.0.git3bcec246.fc40.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.MKOfAn + umask 022 + cd /builddir/build/BUILD + cd cocotb + DOCDIR=/builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/share/doc/cocotb-python3 + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/share/doc/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb/README.md /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/share/doc/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.bLLm7v + umask 022 + cd /builddir/build/BUILD + cd cocotb + LICENSEDIR=/builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/share/licenses/cocotb-python3 + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/share/licenses/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb/LICENSE /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/share/licenses/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so Provides: cocotb cocotb-python3 = 1.8.1-20240530.0.git3bcec246.fc40 cocotb-python3(aarch-64) = 1.8.1-20240530.0.git3bcec246.fc40 libcocotb.so()(64bit) libcocotbfli_modelsim.so()(64bit) libcocotbutils.so()(64bit) libcocotbvhpi_aldec.so()(64bit) libcocotbvhpi_ius.so()(64bit) libcocotbvhpi_modelsim.so()(64bit) libcocotbvhpi_nvc.so()(64bit) libcocotbvpi_aldec.so()(64bit) libcocotbvpi_ghdl.so()(64bit) libcocotbvpi_ius.so()(64bit) libcocotbvpi_modelsim.so()(64bit) libcocotbvpi_vcs.so()(64bit) libcocotbvpi_verilator.so()(64bit) libembed.so()(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) python3.12dist(cocotb) = 2~~dev0 python3dist(cocotb) = 2~~dev0 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libcocotbutils.so()(64bit) libembed.so()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) python(abi) = 3.12 python3.12dist(find-libpython) rtld(GNU_HASH) Processing files: cocotb-debugsource-1.8.1-20240530.0.git3bcec246.fc40.aarch64 Provides: cocotb-debugsource = 1.8.1-20240530.0.git3bcec246.fc40 cocotb-debugsource(aarch-64) = 1.8.1-20240530.0.git3bcec246.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: cocotb-python3-debuginfo-1.8.1-20240530.0.git3bcec246.fc40.aarch64 warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug Provides: cocotb-python3-debuginfo = 1.8.1-20240530.0.git3bcec246.fc40 cocotb-python3-debuginfo(aarch-64) = 1.8.1-20240530.0.git3bcec246.fc40 debuginfo(build-id) = 55acb8bf97ee123405b1a6de786db8ca4ae16e85 debuginfo(build-id) = 571b2e6ca9220bb1e4fbfc9adb4f6749c74e41df debuginfo(build-id) = 582fc25b17e9b2b74576c3eb8ce0caadc848ee43 debuginfo(build-id) = 5a391db24720494379a2cb083a03dafc7a007f81 debuginfo(build-id) = 78fc31b04e2231a2b4b85e8af27bedf0217ab685 debuginfo(build-id) = 79ee2a756a5bd9a04aecaa2c20b4aaec5a82b83e debuginfo(build-id) = 911ea5b26cfa152861adf172e162ff4da89d0830 debuginfo(build-id) = 9d4cb722da1f65a693d59e121cecb54043c642ce debuginfo(build-id) = 9fd508972d486f880bf7e801ac51c54192fa36b1 debuginfo(build-id) = ad5f7431da5b0ac7ab9e59eb633984964f1809de debuginfo(build-id) = cd875edc2a140f0ffda13322f02e33a9d74c7110 debuginfo(build-id) = d435caf0f77a68a419a2775dd792324567213d0b debuginfo(build-id) = e65aae318d33386d1a7b5faafc9a6f4fdac1b52d debuginfo(build-id) = fcb7d445ca3482b6a91b76062c589bfe4113f3dc debuginfo(build-id) = fdf5b5e33c77e0b519fc273d8b2688dcaeeba35b debuginfo(build-id) = nilnil libcocotb.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbfli_modelsim.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbutils.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvhpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvhpi_ius.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvhpi_modelsim.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvhpi_nvc.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvpi_ghdl.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvpi_ius.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvpi_modelsim.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvpi_vcs.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libcocotbvpi_verilator.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libembed.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libgpi.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libgpilog.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) libpygpilog.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: cocotb-debugsource(aarch-64) = 1.8.1-20240530.0.git3bcec246.fc40 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 Wrote: /builddir/build/RPMS/cocotb-debugsource-1.8.1-20240530.0.git3bcec246.fc40.aarch64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-1.8.1-20240530.0.git3bcec246.fc40.aarch64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-debuginfo-1.8.1-20240530.0.git3bcec246.fc40.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.U7DvEP + umask 022 + cd /builddir/build/BUILD + cd cocotb + /usr/bin/rm -rf /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.DqKRGd + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/cocotb-SPECPARTS + rm -rf cocotb cocotb.gemspec + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240530.0.git3bcec246.fc40.aarch64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240530.0.git3bcec246.fc40.aarch64.debug Finish: rpmbuild cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm Finish: build phase for cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-aarch64-1717463632.115796/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240530.0.git3bcec246.fc40.src.rpm) Config(child) 1 minutes 21 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "cocotb-debugsource", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc40", "arch": "aarch64" }, { "name": "cocotb-python3", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc40", "arch": "aarch64" }, { "name": "cocotb", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc40", "arch": "src" }, { "name": "cocotb-python3-debuginfo", "epoch": null, "version": "1.8.1", "release": "20240530.0.git3bcec246.fc40", "arch": "aarch64" } ] } RPMResults finished