Warning: Permanently added '54.144.225.184' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/8103415-fedora-40-aarch64 --chroot fedora-40-aarch64 Version: 0.73 PID: 7384 Logging PID: 7385 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 8103415, 'buildroot_pkgs': [], 'chroot': 'fedora-40-aarch64', 'enable_net': True, 'fedora_review': False, 'git_hash': '88fe259d3e6d8943ef81c8773dfb2f17a227b4be', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1:0.7-20241002.0.git268b32c3', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-40-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-40-aarch64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-40-aarch64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'rezso', 'tags': [], 'task_id': '8103415-fedora-40-aarch64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr'... Running: git checkout 88fe259d3e6d8943ef81c8773dfb2f17a227b4be -- cmd: ['git', 'checkout', '88fe259d3e6d8943ef81c8773dfb2f17a227b4be', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr rc: 0 stdout: stderr: Note: switching to '88fe259d3e6d8943ef81c8773dfb2f17a227b4be'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 88fe259 automatic import of nextpnr Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727898147.578266 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727898147.578266 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr/nextpnr.spec) Config(fedora-40-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1727898147.578266/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:40 INFO: Pulling image: registry.fedoraproject.org/fedora:40 INFO: Copy content of container registry.fedoraproject.org/fedora:40 to /var/lib/mock/fedora-40-aarch64-bootstrap-1727898147.578266/root INFO: Checking that registry.fedoraproject.org/fedora:40 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:40 with podman image mount INFO: image registry.fedoraproject.org/fedora:40 as /var/lib/containers/storage/overlay/468265c28950647ad9b5e66b8c1daa1a996fdd8491fd01eeecbd6f5f2d9e53b6/merged INFO: umounting image registry.fedoraproject.org/fedora:40 (/var/lib/containers/storage/overlay/468265c28950647ad9b5e66b8c1daa1a996fdd8491fd01eeecbd6f5f2d9e53b6/merged) with podman image umount INFO: Using 'dnf' instead of 'dnf5' for bootstrap chroot INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 3.4 MB/s | 138 kB 00:00 Additional repo copr_rezso_ML 3.8 MB/s | 164 kB 00:00 Additional repo copr_rezso_CUDA 1.4 MB/s | 45 kB 00:00 Additional repo http_developer_download_nvidia_ 65 MB/s | 857 kB 00:00 Additional repo http_developer_download_nvidia_ 42 MB/s | 541 kB 00:00 Additional repo http_developer_download_nvidia_ 35 MB/s | 443 kB 00:00 fedora 34 MB/s | 19 MB 00:00 updates 32 MB/s | 10 MB 00:00 Dependencies resolved. ================================================================================ Package Architecture Version Repository Size ================================================================================ Installing: dnf5 aarch64 5.1.17-2.fc40 updates 651 k dnf5-plugins aarch64 5.1.17-2.fc40 updates 335 k Installing dependencies: fmt aarch64 10.2.1-4.fc40 fedora 121 k libdnf5 aarch64 5.1.17-2.fc40 updates 911 k libdnf5-cli aarch64 5.1.17-2.fc40 updates 219 k sdbus-cpp aarch64 1.4.0-2.fc40 fedora 101 k Transaction Summary ================================================================================ Install 6 Packages Total download size: 2.3 M Installed size: 7.4 M Downloading Packages: (1/6): fmt-10.2.1-4.fc40.aarch64.rpm 8.8 MB/s | 121 kB 00:00 (2/6): sdbus-cpp-1.4.0-2.fc40.aarch64.rpm 5.8 MB/s | 101 kB 00:00 (3/6): dnf5-5.1.17-2.fc40.aarch64.rpm 34 MB/s | 651 kB 00:00 (4/6): dnf5-plugins-5.1.17-2.fc40.aarch64.rpm 63 MB/s | 335 kB 00:00 (5/6): libdnf5-5.1.17-2.fc40.aarch64.rpm 149 MB/s | 911 kB 00:00 (6/6): libdnf5-cli-5.1.17-2.fc40.aarch64.rpm 40 MB/s | 219 kB 00:00 -------------------------------------------------------------------------------- Total 4.0 MB/s | 2.3 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : fmt-10.2.1-4.fc40.aarch64 1/6 Installing : libdnf5-5.1.17-2.fc40.aarch64 2/6 Installing : libdnf5-cli-5.1.17-2.fc40.aarch64 3/6 Installing : sdbus-cpp-1.4.0-2.fc40.aarch64 4/6 Installing : dnf5-5.1.17-2.fc40.aarch64 5/6 Installing : dnf5-plugins-5.1.17-2.fc40.aarch64 6/6 Running scriptlet: dnf5-plugins-5.1.17-2.fc40.aarch64 6/6 Installed: dnf5-5.1.17-2.fc40.aarch64 dnf5-plugins-5.1.17-2.fc40.aarch64 fmt-10.2.1-4.fc40.aarch64 libdnf5-5.1.17-2.fc40.aarch64 libdnf5-cli-5.1.17-2.fc40.aarch64 sdbus-cpp-1.4.0-2.fc40.aarch64 Complete! INFO: Switching package manager from dnf to the dnf5 (direct choice) Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-1727898147.578266/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.7.0-1.fc40.aarch64 python3-dnf-4.21.1-1.fc40.noarch yum-4.21.1-1.fc40.noarch dnf5-5.1.17-2.fc40.aarch64 dnf5-plugins-5.1.17-2.fc40.aarch64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 35.8 MiB/s | 19.7 MiB | 00m01s updates 100% | 45.4 MiB/s | 10.6 MiB | 00m00s Copr repository 100% | 4.4 MiB/s | 139.5 KiB | 00m00s Additional repo copr_rezso_ML 100% | 6.2 MiB/s | 165.6 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 1.9 MiB/s | 46.1 KiB | 00m00s Additional repo http_developer_downloa 100% | 85.4 MiB/s | 874.6 KiB | 00m00s Additional repo http_developer_downloa 100% | 88.0 MiB/s | 810.8 KiB | 00m00s Additional repo http_developer_downloa 100% | 44.2 MiB/s | 452.8 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash aarch64 5.2.26-3.fc40 fedora 8.3 MiB bzip2 aarch64 1.0.8-18.fc40 fedora 427.5 KiB coreutils aarch64 9.4-8.fc40 updates 20.8 MiB cpio aarch64 2.15-1.fc40 fedora 1.2 MiB diffutils aarch64 3.10-5.fc40 fedora 2.1 MiB fedora-release-common noarch 40-39 updates 19.1 KiB findutils aarch64 1:4.9.0-9.fc40 updates 1.7 MiB gawk aarch64 5.3.0-3.fc40 fedora 4.2 MiB glibc-minimal-langpack aarch64 2.39.9999-99.fc40 copr_rezso_ML 0.0 B grep aarch64 3.11-7.fc40 fedora 1.1 MiB gzip aarch64 1.13-1.fc40 fedora 488.8 KiB info aarch64 7.1-2.fc40 fedora 613.5 KiB patch aarch64 2.7.6-24.fc40 fedora 390.5 KiB redhat-rpm-config noarch 288-1.fc40 updates 185.2 KiB rpm-build aarch64 4.19.1.1-1.fc40 fedora 1.2 MiB sed aarch64 4.9-1.fc40 fedora 1.0 MiB shadow-utils aarch64 2:4.15.1-3.fc40 updates 7.3 MiB tar aarch64 2:1.35-3.fc40 fedora 3.1 MiB unzip aarch64 6.0-63.fc40 fedora 726.4 KiB util-linux aarch64 2.40.1-1.fc40 updates 17.5 MiB which aarch64 2.21-41.fc40 fedora 248.1 KiB xz aarch64 1:5.4.6-3.fc40 fedora 2.3 MiB Installing dependencies: alternatives aarch64 1.27-1.fc40 updates 218.2 KiB ansible-srpm-macros noarch 1-14.fc40 fedora 35.7 KiB audit-libs aarch64 4.0.2-1.fc40 updates 547.3 KiB authselect aarch64 1.5.0-5.fc40 fedora 309.4 KiB authselect-libs aarch64 1.5.0-5.fc40 fedora 931.8 KiB basesystem noarch 11-20.fc40 fedora 0.0 B binutils aarch64 2.41-37.fc40 updates 32.8 MiB binutils-gold aarch64 2.41-37.fc40 updates 3.1 MiB bzip2-libs aarch64 1.0.8-18.fc40 fedora 200.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-1.0.fc40 updates 2.4 MiB coreutils-common aarch64 9.4-8.fc40 updates 11.4 MiB cracklib aarch64 2.9.11-5.fc40 fedora 934.6 KiB crypto-policies noarch 20240725-1.git28d3e2d.fc40 updates 153.3 KiB curl aarch64 8.6.0-10.fc40 updates 866.5 KiB cyrus-sasl-lib aarch64 2.1.28-19.fc40 fedora 3.1 MiB debugedit aarch64 5.0-14.fc40 fedora 498.8 KiB dwz aarch64 0.15-6.fc40 fedora 386.7 KiB ed aarch64 1.20.2-1.fc40 updates 282.7 KiB efi-srpm-macros noarch 5-11.fc40 fedora 40.1 KiB elfutils aarch64 0.191-4.fc40 fedora 5.0 MiB elfutils-debuginfod-client aarch64 0.191-4.fc40 fedora 396.7 KiB elfutils-default-yama-scope noarch 0.191-4.fc40 fedora 1.8 KiB elfutils-libelf aarch64 0.191-4.fc40 fedora 1.3 MiB elfutils-libs aarch64 0.191-4.fc40 fedora 1.0 MiB fedora-gpg-keys noarch 40-2 updates 124.7 KiB fedora-release noarch 40-39 updates 0.0 B fedora-release-identity-basic noarch 40-39 updates 654.0 B fedora-repos noarch 40-2 updates 4.9 KiB file aarch64 5.45-4.fc40 fedora 267.4 KiB file-libs aarch64 5.45-4.fc40 fedora 10.0 MiB filesystem aarch64 3.18-8.fc40 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-14.fc40 fedora 55.3 KiB forge-srpm-macros noarch 0.3.2-1.fc40 updates 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 fedora 144.0 B gdb-minimal aarch64 15.1-1.fc40 updates 13.2 MiB gdbm aarch64 1:1.23-6.fc40 fedora 928.2 KiB gdbm-libs aarch64 1:1.23-6.fc40 fedora 425.8 KiB ghc-srpm-macros noarch 1.9-1.fc40 fedora 716.0 B glibc aarch64 2.39.9999-99.fc40 copr_rezso_ML 9.7 MiB glibc-common aarch64 2.39.9999-99.fc40 copr_rezso_ML 2.6 MiB glibc-gconv-extra aarch64 2.39.9999-99.fc40 copr_rezso_ML 49.0 MiB gmp aarch64 1:6.2.1-8.fc40 fedora 721.2 KiB gnat-srpm-macros noarch 6-5.fc40 fedora 1.0 KiB go-srpm-macros noarch 3.5.0-1.fc40 fedora 60.6 KiB jansson aarch64 2.13.1-9.fc40 fedora 220.4 KiB kernel-srpm-macros noarch 1.0-23.fc40 fedora 1.9 KiB keyutils-libs aarch64 1.6.3-3.fc40 fedora 226.3 KiB krb5-libs aarch64 1.21.3-1.fc40 updates 3.4 MiB libacl aarch64 2.3.2-1.fc40 fedora 196.0 KiB libarchive aarch64 3.7.2-4.fc40 updates 1.0 MiB libattr aarch64 2.5.2-3.fc40 fedora 196.5 KiB libblkid aarch64 2.40.1-1.fc40 updates 418.5 KiB libbrotli aarch64 1.1.0-3.fc40 fedora 1.1 MiB libcap aarch64 2.69-8.fc40 updates 1.4 MiB libcap-ng aarch64 0.8.4-4.fc40 fedora 417.0 KiB libcom_err aarch64 1.47.0-5.fc40 fedora 239.2 KiB libcurl aarch64 8.6.0-10.fc40 updates 856.8 KiB libeconf aarch64 0.6.2-2.fc40 updates 206.0 KiB libevent aarch64 2.1.12-12.fc40 fedora 1.5 MiB libfdisk aarch64 2.40.1-1.fc40 updates 482.8 KiB libffi aarch64 3.4.4-7.fc40 fedora 281.4 KiB libgcc aarch64 14.2.1-3.fc40 updates 350.2 KiB libgomp aarch64 14.2.1-3.fc40 updates 567.3 KiB libidn2 aarch64 2.3.7-1.fc40 fedora 457.1 KiB libmount aarch64 2.40.1-1.fc40 updates 483.8 KiB libnghttp2 aarch64 1.59.0-3.fc40 updates 262.1 KiB libnsl2 aarch64 2.0.1-1.fc40 fedora 221.9 KiB libpkgconf aarch64 2.1.1-2.fc40 updates 198.0 KiB libpsl aarch64 0.21.5-3.fc40 fedora 196.5 KiB libpwquality aarch64 1.4.5-9.fc40 fedora 1.1 MiB libselinux aarch64 3.7-5.fc40 updates 265.0 KiB libsemanage aarch64 3.7-2.fc40 updates 361.5 KiB libsepol aarch64 3.7-2.fc40 updates 873.9 KiB libsmartcols aarch64 2.40.1-1.fc40 updates 288.4 KiB libssh aarch64 0.10.6-5.fc40 fedora 581.1 KiB libssh-config noarch 0.10.6-5.fc40 fedora 277.0 B libstdc++ aarch64 14.2.1-3.fc40 updates 2.8 MiB libtasn1 aarch64 4.19.0-6.fc40 fedora 283.7 KiB libtirpc aarch64 1.3.5-0.fc40 updates 274.6 KiB libtool-ltdl aarch64 2.4.7-10.fc40 fedora 222.2 KiB libunistring aarch64 1.1-7.fc40 fedora 1.9 MiB libutempter aarch64 1.2.1-13.fc40 fedora 417.6 KiB libuuid aarch64 2.40.1-1.fc40 updates 197.4 KiB libverto aarch64 0.3.2-8.fc40 fedora 197.4 KiB libxcrypt aarch64 4.4.36-5.fc40 fedora 398.9 KiB libxml2 aarch64 2.12.8-1.fc40 updates 2.2 MiB libzstd aarch64 1.5.6-1.fc40 updates 795.9 KiB lua-libs aarch64 5.4.6-5.fc40 fedora 393.0 KiB lua-srpm-macros noarch 1-13.fc40 fedora 1.3 KiB lz4-libs aarch64 1.9.4-6.fc40 fedora 261.4 KiB mpfr aarch64 4.2.1-4.fc40 updates 818.8 KiB ncurses-base noarch 6.4-12.20240127.fc40 fedora 326.2 KiB ncurses-libs aarch64 6.4-12.20240127.fc40 fedora 2.2 MiB ocaml-srpm-macros noarch 9-3.fc40 fedora 1.9 KiB openblas-srpm-macros noarch 2-16.fc40 fedora 104.0 B openldap aarch64 2.6.7-1.fc40 fedora 1.0 MiB openssl-libs aarch64 1:3.2.2-3.fc40 updates 7.8 MiB p11-kit aarch64 0.25.5-1.fc40 updates 2.8 MiB p11-kit-trust aarch64 0.25.5-1.fc40 updates 655.5 KiB package-notes-srpm-macros noarch 0.5-11.fc40 fedora 1.6 KiB pam aarch64 1.6.1-3.fc40 updates 11.0 MiB pam-libs aarch64 1.6.1-3.fc40 updates 607.0 KiB pcre2 aarch64 10.44-1.fc40 updates 905.3 KiB pcre2-syntax noarch 10.44-1.fc40 updates 251.6 KiB perl-srpm-macros noarch 1-53.fc40 fedora 861.0 B pkgconf aarch64 2.1.1-2.fc40 updates 238.7 KiB pkgconf-m4 noarch 2.1.1-2.fc40 updates 13.9 KiB pkgconf-pkg-config aarch64 2.1.1-2.fc40 updates 990.0 B popt aarch64 1.19-6.fc40 fedora 272.8 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 fedora 67.5 KiB pyproject-srpm-macros noarch 1.15.0-1.fc40 updates 1.9 KiB python-srpm-macros noarch 3.12-8.fc40 updates 50.6 KiB qt5-srpm-macros noarch 5.15.15-1.fc40 updates 500.0 B qt6-srpm-macros noarch 6.7.2-2.fc40 updates 456.0 B readline aarch64 8.2-8.fc40 fedora 689.1 KiB rpm aarch64 4.19.1.1-1.fc40 fedora 4.0 MiB rpm-build-libs aarch64 4.19.1.1-1.fc40 fedora 262.4 KiB rpm-libs aarch64 4.19.1.1-1.fc40 fedora 861.6 KiB rpm-sequoia aarch64 1.7.0-1.fc40 updates 2.3 MiB rust-srpm-macros noarch 26.3-1.fc40 updates 4.8 KiB setup noarch 2.14.5-2.fc40 fedora 720.4 KiB sqlite-libs aarch64 3.45.1-2.fc40 fedora 1.5 MiB systemd-libs aarch64 255.12-1.fc40 updates 2.5 MiB util-linux-core aarch64 2.40.1-1.fc40 updates 6.2 MiB xxhash-libs aarch64 0.8.2-2.fc40 fedora 212.2 KiB xz-libs aarch64 1:5.4.6-3.fc40 fedora 265.6 KiB zig-srpm-macros noarch 1-2.fc40 fedora 1.1 KiB zip aarch64 3.0-40.fc40 fedora 1.1 MiB zlib-ng-compat aarch64 2.1.7-2.fc40 updates 261.7 KiB zstd aarch64 1.5.6-1.fc40 updates 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 152 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation 307 MiB will be used (install 307 MiB, remove 0 B). [ 1/152] bzip2-0:1.0.8-18.fc40.aarch64 100% | 4.2 MiB/s | 52.2 KiB | 00m00s [ 2/152] cpio-0:2.15-1.fc40.aarch64 100% | 17.8 MiB/s | 291.9 KiB | 00m00s [ 3/152] diffutils-0:3.10-5.fc40.aarch 100% | 65.8 MiB/s | 404.0 KiB | 00m00s [ 4/152] bash-0:5.2.26-3.fc40.aarch64 100% | 85.6 MiB/s | 1.8 MiB | 00m00s [ 5/152] grep-0:3.11-7.fc40.aarch64 100% | 72.9 MiB/s | 298.5 KiB | 00m00s [ 6/152] gawk-0:5.3.0-3.fc40.aarch64 100% | 117.8 MiB/s | 1.1 MiB | 00m00s [ 7/152] gzip-0:1.13-1.fc40.aarch64 100% | 33.2 MiB/s | 169.8 KiB | 00m00s [ 8/152] info-0:7.1-2.fc40.aarch64 100% | 44.7 MiB/s | 183.1 KiB | 00m00s [ 9/152] patch-0:2.7.6-24.fc40.aarch64 100% | 126.5 MiB/s | 129.5 KiB | 00m00s [ 10/152] rpm-build-0:4.19.1.1-1.fc40.a 100% | 38.9 MiB/s | 79.7 KiB | 00m00s [ 11/152] sed-0:4.9-1.fc40.aarch64 100% | 102.8 MiB/s | 315.7 KiB | 00m00s [ 12/152] tar-2:1.35-3.fc40.aarch64 100% | 167.5 MiB/s | 857.5 KiB | 00m00s [ 13/152] unzip-0:6.0-63.fc40.aarch64 100% | 36.1 MiB/s | 185.0 KiB | 00m00s [ 14/152] which-0:2.21-41.fc40.aarch64 100% | 8.1 MiB/s | 41.6 KiB | 00m00s [ 15/152] fedora-release-common-0:40-39 100% | 20.8 MiB/s | 21.3 KiB | 00m00s [ 16/152] xz-1:5.4.6-3.fc40.aarch64 100% | 109.0 MiB/s | 558.0 KiB | 00m00s [ 17/152] coreutils-0:9.4-8.fc40.aarch6 100% | 169.7 MiB/s | 1.2 MiB | 00m00s [ 18/152] findutils-1:4.9.0-9.fc40.aarc 100% | 81.1 MiB/s | 498.0 KiB | 00m00s [ 19/152] redhat-rpm-config-0:288-1.fc4 100% | 20.0 MiB/s | 82.1 KiB | 00m00s [ 20/152] glibc-minimal-langpack-0:2.39 100% | 12.0 MiB/s | 98.7 KiB | 00m00s [ 21/152] shadow-utils-2:4.15.1-3.fc40. 100% | 188.7 MiB/s | 1.3 MiB | 00m00s [ 22/152] filesystem-0:3.18-8.fc40.aarc 100% | 155.2 MiB/s | 1.1 MiB | 00m00s [ 23/152] util-linux-0:2.40.1-1.fc40.aa 100% | 136.8 MiB/s | 1.2 MiB | 00m00s [ 24/152] ncurses-libs-0:6.4-12.2024012 100% | 53.6 MiB/s | 329.1 KiB | 00m00s [ 25/152] gmp-1:6.2.1-8.fc40.aarch64 100% | 130.7 MiB/s | 267.6 KiB | 00m00s [ 26/152] readline-0:8.2-8.fc40.aarch64 100% | 104.2 MiB/s | 213.5 KiB | 00m00s [ 27/152] debugedit-0:5.0-14.fc40.aarch 100% | 38.3 MiB/s | 78.5 KiB | 00m00s [ 28/152] elfutils-0:0.191-4.fc40.aarch 100% | 111.0 MiB/s | 568.2 KiB | 00m00s [ 29/152] elfutils-libelf-0:0.191-4.fc4 100% | 102.0 MiB/s | 208.9 KiB | 00m00s [ 30/152] file-0:5.45-4.fc40.aarch64 100% | 16.1 MiB/s | 49.5 KiB | 00m00s [ 31/152] popt-0:1.19-6.fc40.aarch64 100% | 32.6 MiB/s | 66.7 KiB | 00m00s [ 32/152] rpm-0:4.19.1.1-1.fc40.aarch64 100% | 174.7 MiB/s | 536.7 KiB | 00m00s [ 33/152] rpm-build-libs-0:4.19.1.1-1.f 100% | 89.7 MiB/s | 91.8 KiB | 00m00s [ 34/152] rpm-libs-0:4.19.1.1-1.fc40.aa 100% | 149.4 MiB/s | 306.0 KiB | 00m00s [ 35/152] libacl-0:2.3.2-1.fc40.aarch64 100% | 24.1 MiB/s | 24.7 KiB | 00m00s [ 36/152] xz-libs-1:5.4.6-3.fc40.aarch6 100% | 52.9 MiB/s | 108.3 KiB | 00m00s [ 37/152] bzip2-libs-0:1.0.8-18.fc40.aa 100% | 1.1 MiB/s | 42.7 KiB | 00m00s [ 38/152] libattr-0:2.5.2-3.fc40.aarch6 100% | 546.0 KiB/s | 18.0 KiB | 00m00s [ 39/152] coreutils-common-0:9.4-8.fc40 100% | 238.8 MiB/s | 2.1 MiB | 00m00s [ 40/152] ansible-srpm-macros-0:1-14.fc 100% | 20.3 MiB/s | 20.8 KiB | 00m00s [ 41/152] glibc-common-0:2.39.9999-99.f 100% | 40.6 MiB/s | 374.3 KiB | 00m00s [ 42/152] dwz-0:0.15-6.fc40.aarch64 100% | 44.5 MiB/s | 136.6 KiB | 00m00s [ 43/152] efi-srpm-macros-0:5-11.fc40.n 100% | 10.9 MiB/s | 22.3 KiB | 00m00s [ 44/152] glibc-0:2.39.9999-99.fc40.aar 100% | 119.3 MiB/s | 1.8 MiB | 00m00s [ 45/152] fonts-srpm-macros-1:2.0.5-14. 100% | 8.6 MiB/s | 26.5 KiB | 00m00s [ 46/152] fpc-srpm-macros-0:1.3-12.fc40 100% | 2.5 MiB/s | 7.8 KiB | 00m00s [ 47/152] ghc-srpm-macros-0:1.9-1.fc40. 100% | 8.5 MiB/s | 8.7 KiB | 00m00s [ 48/152] go-srpm-macros-0:3.5.0-1.fc40 100% | 26.9 MiB/s | 27.5 KiB | 00m00s [ 49/152] gnat-srpm-macros-0:6-5.fc40.n 100% | 4.3 MiB/s | 8.8 KiB | 00m00s [ 50/152] kernel-srpm-macros-0:1.0-23.f 100% | 4.8 MiB/s | 9.7 KiB | 00m00s [ 51/152] ocaml-srpm-macros-0:9-3.fc40. 100% | 4.4 MiB/s | 9.1 KiB | 00m00s [ 52/152] openblas-srpm-macros-0:2-16.f 100% | 3.7 MiB/s | 7.5 KiB | 00m00s [ 53/152] lua-srpm-macros-0:1-13.fc40.n 100% | 2.1 MiB/s | 8.7 KiB | 00m00s [ 54/152] package-notes-srpm-macros-0:0 100% | 4.9 MiB/s | 9.9 KiB | 00m00s [ 55/152] perl-srpm-macros-0:1-53.fc40. 100% | 2.0 MiB/s | 8.4 KiB | 00m00s [ 56/152] zip-0:3.0-40.fc40.aarch64 100% | 64.3 MiB/s | 263.3 KiB | 00m00s [ 57/152] libxcrypt-0:4.4.36-5.fc40.aar 100% | 40.1 MiB/s | 123.3 KiB | 00m00s [ 58/152] setup-0:2.14.5-2.fc40.noarch 100% | 75.6 MiB/s | 154.7 KiB | 00m00s [ 59/152] libcap-ng-0:0.8.4-4.fc40.aarc 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 60/152] authselect-libs-0:1.5.0-5.fc4 100% | 106.8 MiB/s | 218.8 KiB | 00m00s [ 61/152] libutempter-0:1.2.1-13.fc40.a 100% | 26.2 MiB/s | 26.8 KiB | 00m00s [ 62/152] libblkid-0:2.40.1-1.fc40.aarc 100% | 61.4 MiB/s | 125.7 KiB | 00m00s [ 63/152] libfdisk-0:2.40.1-1.fc40.aarc 100% | 76.9 MiB/s | 157.4 KiB | 00m00s [ 64/152] libmount-0:2.40.1-1.fc40.aarc 100% | 75.4 MiB/s | 154.5 KiB | 00m00s [ 65/152] libsmartcols-0:2.40.1-1.fc40. 100% | 40.4 MiB/s | 82.7 KiB | 00m00s [ 66/152] libuuid-0:2.40.1-1.fc40.aarch 100% | 14.0 MiB/s | 28.6 KiB | 00m00s [ 67/152] util-linux-core-0:2.40.1-1.fc 100% | 174.2 MiB/s | 535.3 KiB | 00m00s [ 68/152] elfutils-libs-0:0.191-4.fc40. 100% | 129.1 MiB/s | 264.4 KiB | 00m00s [ 69/152] elfutils-debuginfod-client-0: 100% | 37.1 MiB/s | 38.0 KiB | 00m00s [ 70/152] file-libs-0:5.45-4.fc40.aarch 100% | 149.1 MiB/s | 763.3 KiB | 00m00s [ 71/152] lua-libs-0:5.4.6-5.fc40.aarch 100% | 128.4 MiB/s | 131.5 KiB | 00m00s [ 72/152] sqlite-libs-0:3.45.1-2.fc40.a 100% | 172.1 MiB/s | 704.9 KiB | 00m00s [ 73/152] zig-srpm-macros-0:1-2.fc40.no 100% | 191.4 KiB/s | 8.0 KiB | 00m00s [ 74/152] ncurses-base-0:6.4-12.2024012 100% | 3.5 MiB/s | 88.8 KiB | 00m00s [ 75/152] glibc-gconv-extra-0:2.39.9999 100% | 249.0 MiB/s | 2.0 MiB | 00m00s [ 76/152] basesystem-0:11-20.fc40.noarc 100% | 1.8 MiB/s | 7.2 KiB | 00m00s [ 77/152] elfutils-default-yama-scope-0 100% | 4.4 MiB/s | 13.5 KiB | 00m00s [ 78/152] libgcc-0:14.2.1-3.fc40.aarch6 100% | 37.8 MiB/s | 116.2 KiB | 00m00s [ 79/152] libselinux-0:3.7-5.fc40.aarch 100% | 42.9 MiB/s | 87.9 KiB | 00m00s [ 80/152] libsepol-0:3.7-2.fc40.aarch64 100% | 159.8 MiB/s | 327.2 KiB | 00m00s [ 81/152] lz4-libs-0:1.9.4-6.fc40.aarch 100% | 33.0 MiB/s | 67.6 KiB | 00m00s [ 82/152] systemd-libs-0:255.12-1.fc40. 100% | 112.9 MiB/s | 693.5 KiB | 00m00s [ 83/152] audit-libs-0:4.0.2-1.fc40.aar 100% | 30.9 MiB/s | 126.7 KiB | 00m00s [ 84/152] pam-0:1.6.1-3.fc40.aarch64 100% | 110.1 MiB/s | 563.8 KiB | 00m00s [ 85/152] authselect-0:1.5.0-5.fc40.aar 100% | 47.6 MiB/s | 146.2 KiB | 00m00s [ 86/152] gdbm-1:1.23-6.fc40.aarch64 100% | 50.1 MiB/s | 154.0 KiB | 00m00s [ 87/152] gdbm-libs-1:1.23-6.fc40.aarch 100% | 18.4 MiB/s | 56.5 KiB | 00m00s [ 88/152] libnsl2-0:2.0.1-1.fc40.aarch6 100% | 14.6 MiB/s | 29.9 KiB | 00m00s [ 89/152] libpwquality-0:1.4.5-9.fc40.a 100% | 58.7 MiB/s | 120.3 KiB | 00m00s [ 90/152] pam-libs-0:1.6.1-3.fc40.aarch 100% | 27.9 MiB/s | 57.1 KiB | 00m00s [ 91/152] cracklib-0:2.9.11-5.fc40.aarc 100% | 45.9 MiB/s | 94.0 KiB | 00m00s [ 92/152] zlib-ng-compat-0:2.1.7-2.fc40 100% | 65.2 MiB/s | 66.8 KiB | 00m00s [ 93/152] libcap-0:2.69-8.fc40.aarch64 100% | 85.1 MiB/s | 87.2 KiB | 00m00s [ 94/152] libeconf-0:0.6.2-2.fc40.aarch 100% | 31.4 MiB/s | 32.2 KiB | 00m00s [ 95/152] libsemanage-0:3.7-2.fc40.aarc 100% | 56.0 MiB/s | 114.7 KiB | 00m00s [ 96/152] forge-srpm-macros-0:0.3.2-1.f 100% | 19.2 MiB/s | 19.7 KiB | 00m00s [ 97/152] libzstd-0:1.5.6-1.fc40.aarch6 100% | 56.3 MiB/s | 288.4 KiB | 00m00s [ 98/152] pyproject-srpm-macros-0:1.15. 100% | 13.3 MiB/s | 13.6 KiB | 00m00s [ 99/152] python-srpm-macros-0:3.12-8.f 100% | 22.8 MiB/s | 23.4 KiB | 00m00s [100/152] qt5-srpm-macros-0:5.15.15-1.f 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [101/152] qt6-srpm-macros-0:6.7.2-2.fc4 100% | 8.8 MiB/s | 9.0 KiB | 00m00s [102/152] rust-srpm-macros-0:26.3-1.fc4 100% | 12.2 MiB/s | 12.5 KiB | 00m00s [103/152] libtirpc-0:1.3.5-0.fc40.aarch 100% | 93.6 MiB/s | 95.8 KiB | 00m00s [104/152] libcom_err-0:1.47.0-5.fc40.aa 100% | 12.4 MiB/s | 25.5 KiB | 00m00s [105/152] libffi-0:3.4.4-7.fc40.aarch64 100% | 18.3 MiB/s | 37.5 KiB | 00m00s [106/152] ca-certificates-0:2024.2.69_v 100% | 170.2 MiB/s | 871.2 KiB | 00m00s [107/152] crypto-policies-0:20240725-1. 100% | 32.3 MiB/s | 99.2 KiB | 00m00s [108/152] keyutils-libs-0:1.6.3-3.fc40. 100% | 30.9 MiB/s | 31.6 KiB | 00m00s [109/152] openssl-libs-1:3.2.2-3.fc40.a 100% | 204.4 MiB/s | 2.2 MiB | 00m00s [110/152] libverto-0:0.3.2-8.fc40.aarch 100% | 5.1 MiB/s | 20.7 KiB | 00m00s [111/152] krb5-libs-0:1.21.3-1.fc40.aar 100% | 94.4 MiB/s | 773.1 KiB | 00m00s [112/152] pcre2-0:10.44-1.fc40.aarch64 100% | 73.8 MiB/s | 226.8 KiB | 00m00s [113/152] fedora-gpg-keys-0:40-2.noarch 100% | 64.5 MiB/s | 132.1 KiB | 00m00s [114/152] fedora-repos-0:40-2.noarch 100% | 4.6 MiB/s | 9.5 KiB | 00m00s [115/152] pcre2-syntax-0:10.44-1.fc40.n 100% | 29.3 MiB/s | 149.8 KiB | 00m00s [116/152] p11-kit-trust-0:0.25.5-1.fc40 100% | 138.0 MiB/s | 141.3 KiB | 00m00s [117/152] libtasn1-0:4.19.0-6.fc40.aarc 100% | 23.8 MiB/s | 73.1 KiB | 00m00s [118/152] p11-kit-0:0.25.5-1.fc40.aarch 100% | 97.8 MiB/s | 500.7 KiB | 00m00s [119/152] rpm-sequoia-0:1.7.0-1.fc40.aa 100% | 208.3 MiB/s | 853.4 KiB | 00m00s [120/152] jansson-0:2.13.1-9.fc40.aarch 100% | 22.3 MiB/s | 45.8 KiB | 00m00s [121/152] pkgconf-pkg-config-0:2.1.1-2. 100% | 9.6 MiB/s | 9.8 KiB | 00m00s [122/152] pkgconf-0:2.1.1-2.fc40.aarch6 100% | 42.7 MiB/s | 43.7 KiB | 00m00s [123/152] pkgconf-m4-0:2.1.1-2.fc40.noa 100% | 6.9 MiB/s | 14.0 KiB | 00m00s [124/152] libpkgconf-0:2.1.1-2.fc40.aar 100% | 12.6 MiB/s | 38.6 KiB | 00m00s [125/152] binutils-0:2.41-37.fc40.aarch 100% | 282.7 MiB/s | 6.8 MiB | 00m00s [126/152] zstd-0:1.5.6-1.fc40.aarch64 100% | 44.8 MiB/s | 458.9 KiB | 00m00s [127/152] curl-0:8.6.0-10.fc40.aarch64 100% | 73.0 MiB/s | 299.0 KiB | 00m00s [128/152] ed-0:1.20.2-1.fc40.aarch64 100% | 79.4 MiB/s | 81.3 KiB | 00m00s [129/152] libgomp-0:14.2.1-3.fc40.aarch 100% | 10.2 MiB/s | 344.4 KiB | 00m00s [130/152] libarchive-0:3.7.2-4.fc40.aar 100% | 65.9 MiB/s | 404.7 KiB | 00m00s [131/152] mpfr-0:4.2.1-4.fc40.aarch64 100% | 79.2 MiB/s | 324.5 KiB | 00m00s [132/152] libstdc++-0:14.2.1-3.fc40.aar 100% | 162.3 MiB/s | 831.0 KiB | 00m00s [133/152] alternatives-0:1.27-1.fc40.aa 100% | 7.8 MiB/s | 39.8 KiB | 00m00s [134/152] libxml2-0:2.12.8-1.fc40.aarch 100% | 134.1 MiB/s | 686.3 KiB | 00m00s [135/152] fedora-release-0:40-39.noarch 100% | 5.3 MiB/s | 10.8 KiB | 00m00s [136/152] fedora-release-identity-basic 100% | 11.3 MiB/s | 11.6 KiB | 00m00s [137/152] binutils-gold-0:2.41-37.fc40. 100% | 157.0 MiB/s | 964.6 KiB | 00m00s [138/152] libcurl-0:8.6.0-10.fc40.aarch 100% | 83.7 MiB/s | 342.7 KiB | 00m00s [139/152] libbrotli-0:1.1.0-3.fc40.aarc 100% | 84.4 MiB/s | 345.7 KiB | 00m00s [140/152] libpsl-0:0.21.5-3.fc40.aarch6 100% | 31.4 MiB/s | 64.2 KiB | 00m00s [141/152] libidn2-0:2.3.7-1.fc40.aarch6 100% | 29.1 MiB/s | 119.1 KiB | 00m00s [142/152] libssh-0:0.10.6-5.fc40.aarch6 100% | 69.4 MiB/s | 213.2 KiB | 00m00s [143/152] openldap-0:2.6.7-1.fc40.aarch 100% | 123.2 MiB/s | 252.4 KiB | 00m00s [144/152] libunistring-0:1.1-7.fc40.aar 100% | 177.0 MiB/s | 543.6 KiB | 00m00s [145/152] publicsuffix-list-dafsa-0:202 100% | 14.2 MiB/s | 58.1 KiB | 00m00s [146/152] libssh-config-0:0.10.6-5.fc40 100% | 2.9 MiB/s | 9.0 KiB | 00m00s [147/152] libtool-ltdl-0:2.4.7-10.fc40. 100% | 17.7 MiB/s | 36.3 KiB | 00m00s [148/152] libevent-0:2.1.12-12.fc40.aar 100% | 62.3 MiB/s | 255.2 KiB | 00m00s [149/152] cyrus-sasl-lib-0:2.1.28-19.fc 100% | 108.6 MiB/s | 778.7 KiB | 00m00s [150/152] xxhash-libs-0:0.8.2-2.fc40.aa 100% | 11.2 MiB/s | 34.3 KiB | 00m00s [151/152] libnghttp2-0:1.59.0-3.fc40.aa 100% | 14.9 MiB/s | 76.1 KiB | 00m00s [152/152] gdb-minimal-0:15.1-1.fc40.aar 100% | 205.1 MiB/s | 4.1 MiB | 00m00s -------------------------------------------------------------------------------- [152/152] Total 100% | 82.4 MiB/s | 53.0 MiB | 00m01s Running transaction Importing PGP key 0xA15B79CC: Userid : "Fedora (40) " Fingerprint: 115DF9AEF857853EE8445D0A0727707EA15B79CC From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary The key was successfully imported. [ 1/154] Verify package files 100% | 660.0 B/s | 152.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 >>> Stop pre-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 [ 2/154] Prepare transaction 100% | 2.5 KiB/s | 152.0 B | 00m00s [ 3/154] Installing libgcc-0:14.2.1-3. 100% | 171.8 MiB/s | 351.9 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.2.1-3.fc40.aarch64 >>> Stop post-install scriptlet: libgcc-0:14.2.1-3.fc40.aarch64 [ 4/154] Installing crypto-policies-0: 100% | 22.7 MiB/s | 185.9 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240725-1.git28d3e2d.fc40 >>> Stop post-install scriptlet: crypto-policies-0:20240725-1.git28d3e2d.fc40.no [ 5/154] Installing fedora-release-ide 100% | 890.6 KiB/s | 912.0 B | 00m00s [ 6/154] Installing fedora-gpg-keys-0: 100% | 27.6 MiB/s | 169.7 KiB | 00m00s [ 7/154] Installing fedora-repos-0:40- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 8/154] Installing fedora-release-com 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 9/154] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 10/154] Installing setup-0:2.14.5-2.f 100% | 41.7 MiB/s | 725.8 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.14.5-2.fc40.noarch >>> Stop post-install scriptlet: setup-0:2.14.5-2.fc40.noarch [ 11/154] Installing filesystem-0:3.18- 100% | 2.2 MiB/s | 212.4 KiB | 00m00s [ 12/154] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 13/154] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 14/154] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 15/154] Installing pkgconf-m4-0:2.1.1 100% | 0.0 B/s | 14.3 KiB | 00m00s [ 16/154] Installing pcre2-syntax-0:10. 100% | 248.1 MiB/s | 254.1 KiB | 00m00s [ 17/154] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [ 18/154] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [ 19/154] Installing qt5-srpm-macros-0: 100% | 757.8 KiB/s | 776.0 B | 00m00s [ 20/154] Installing ncurses-base-0:6.4 100% | 49.1 MiB/s | 351.6 KiB | 00m00s [ 21/154] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 22/154] Installing ncurses-libs-0:6.4 100% | 280.9 MiB/s | 2.2 MiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 >>> Stop pre-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 [ 23/154] Installing glibc-0:2.39.9999- 100% | 237.7 MiB/s | 9.7 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 >>> Stop post-install scriptlet: glibc-0:2.39.9999-99.fc40.aarch64 [ 24/154] Installing bash-0:5.2.26-3.fc 100% | 307.9 MiB/s | 8.3 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.aarch64 >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.aarch64 [ 25/154] Installing glibc-common-0:2.3 100% | 284.7 MiB/s | 2.6 MiB | 00m00s [ 26/154] Installing glibc-gconv-extra- 100% | 533.1 MiB/s | 49.0 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39.9999-99.fc40.aarch6 >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39.9999-99.fc40.aarch64 [ 27/154] Installing zlib-ng-compat-0:2 100% | 256.3 MiB/s | 262.5 KiB | 00m00s [ 28/154] Installing xz-libs-1:5.4.6-3. 100% | 260.5 MiB/s | 266.7 KiB | 00m00s [ 29/154] Installing bzip2-libs-0:1.0.8 100% | 197.0 MiB/s | 201.8 KiB | 00m00s [ 30/154] Installing readline-0:8.2-8.f 100% | 225.0 MiB/s | 691.2 KiB | 00m00s [ 31/154] Installing popt-0:1.19-6.fc40 100% | 68.2 MiB/s | 279.4 KiB | 00m00s [ 32/154] Installing libuuid-0:2.40.1-1 100% | 193.8 MiB/s | 198.5 KiB | 00m00s [ 33/154] Installing libzstd-0:1.5.6-1. 100% | 259.5 MiB/s | 797.1 KiB | 00m00s [ 34/154] Installing elfutils-libelf-0: 100% | 328.4 MiB/s | 1.3 MiB | 00m00s [ 35/154] Installing libstdc++-0:14.2.1 100% | 307.8 MiB/s | 2.8 MiB | 00m00s [ 36/154] Installing libblkid-0:2.40.1- 100% | 204.9 MiB/s | 419.6 KiB | 00m00s [ 37/154] Installing gmp-1:6.2.1-8.fc40 100% | 235.5 MiB/s | 723.4 KiB | 00m00s [ 38/154] Installing libattr-0:2.5.2-3. 100% | 192.8 MiB/s | 197.4 KiB | 00m00s [ 39/154] Installing libacl-0:2.3.2-1.f 100% | 192.2 MiB/s | 196.8 KiB | 00m00s [ 40/154] Installing libxcrypt-0:4.4.36 100% | 196.1 MiB/s | 401.6 KiB | 00m00s [ 41/154] Installing lz4-libs-0:1.9.4-6 100% | 256.3 MiB/s | 262.5 KiB | 00m00s [ 42/154] Installing gdbm-libs-1:1.23-6 100% | 417.5 MiB/s | 427.5 KiB | 00m00s [ 43/154] Installing libeconf-0:0.6.2-2 100% | 202.8 MiB/s | 207.6 KiB | 00m00s [ 44/154] Installing mpfr-0:4.2.1-4.fc4 100% | 267.1 MiB/s | 820.4 KiB | 00m00s [ 45/154] Installing gawk-0:5.3.0-3.fc4 100% | 387.5 MiB/s | 4.3 MiB | 00m00s [ 46/154] Installing dwz-0:0.15-6.fc40. 100% | 189.5 MiB/s | 388.1 KiB | 00m00s [ 47/154] Installing unzip-0:6.0-63.fc4 100% | 237.6 MiB/s | 729.8 KiB | 00m00s [ 48/154] Installing file-libs-0:5.45-4 100% | 556.9 MiB/s | 10.0 MiB | 00m00s [ 49/154] Installing file-0:5.45-4.fc40 100% | 262.6 MiB/s | 268.9 KiB | 00m00s [ 50/154] Installing libcap-ng-0:0.8.4- 100% | 409.0 MiB/s | 418.9 KiB | 00m00s [ 51/154] Installing audit-libs-0:4.0.2 100% | 268.2 MiB/s | 549.4 KiB | 00m00s [ 52/154] Installing pam-libs-0:1.6.1-3 100% | 297.4 MiB/s | 609.1 KiB | 00m00s [ 53/154] Installing libcap-0:2.69-8.fc 100% | 343.7 MiB/s | 1.4 MiB | 00m00s [ 54/154] Installing systemd-libs-0:255 100% | 307.1 MiB/s | 2.5 MiB | 00m00s [ 55/154] Installing libsmartcols-0:2.4 100% | 282.8 MiB/s | 289.6 KiB | 00m00s [ 56/154] Installing lua-libs-0:5.4.6-5 100% | 192.5 MiB/s | 394.2 KiB | 00m00s [ 57/154] Installing libsepol-0:3.7-2.f 100% | 284.8 MiB/s | 874.9 KiB | 00m00s [ 58/154] Installing libcom_err-0:1.47. 100% | 234.7 MiB/s | 240.3 KiB | 00m00s [ 59/154] Installing libffi-0:3.4.4-7.f 100% | 276.2 MiB/s | 282.8 KiB | 00m00s [ 60/154] Installing pcre2-0:10.44-1.fc 100% | 295.2 MiB/s | 906.7 KiB | 00m00s [ 61/154] Installing libselinux-0:3.7-5 100% | 260.0 MiB/s | 266.3 KiB | 00m00s [ 62/154] Installing sed-0:4.9-1.fc40.a 100% | 164.3 MiB/s | 1.0 MiB | 00m00s [ 63/154] Installing grep-0:3.11-7.fc40 100% | 156.7 MiB/s | 1.1 MiB | 00m00s [ 64/154] Installing findutils-1:4.9.0- 100% | 207.6 MiB/s | 1.7 MiB | 00m00s [ 65/154] Installing xz-1:5.4.6-3.fc40. 100% | 207.6 MiB/s | 2.3 MiB | 00m00s [ 66/154] Installing libmount-0:2.40.1- 100% | 236.8 MiB/s | 485.0 KiB | 00m00s [ 67/154] Installing libtasn1-0:4.19.0- 100% | 139.4 MiB/s | 285.5 KiB | 00m00s [ 68/154] Installing p11-kit-0:0.25.5-1 100% | 217.9 MiB/s | 2.8 MiB | 00m00s [ 69/154] Installing jansson-0:2.13.1-9 100% | 216.5 MiB/s | 221.7 KiB | 00m00s [ 70/154] Installing alternatives-0:1.2 100% | 214.7 MiB/s | 219.9 KiB | 00m00s [ 71/154] Installing libunistring-0:1.1 100% | 311.9 MiB/s | 1.9 MiB | 00m00s [ 72/154] Installing libidn2-0:2.3.7-1. 100% | 113.0 MiB/s | 463.0 KiB | 00m00s [ 73/154] Installing libpsl-0:0.21.5-3. 100% | 193.0 MiB/s | 197.6 KiB | 00m00s [ 74/154] Installing p11-kit-trust-0:0. 100% | 58.3 MiB/s | 657.2 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.5-1.fc40.aarch64 >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.5-1.fc40.aarch64 [ 75/154] Installing util-linux-core-0: 100% | 364.7 MiB/s | 6.2 MiB | 00m00s [ 76/154] Installing tar-2:1.35-3.fc40. 100% | 278.8 MiB/s | 3.1 MiB | 00m00s [ 77/154] Installing libsemanage-0:3.7- 100% | 118.2 MiB/s | 363.2 KiB | 00m00s [ 78/154] Installing shadow-utils-2:4.1 100% | 167.7 MiB/s | 7.4 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.aarch64 >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.aarch64 [ 79/154] Installing libutempter-0:1.2. 100% | 204.9 MiB/s | 419.6 KiB | 00m00s [ 80/154] Installing zip-0:3.0-40.fc40. 100% | 281.0 MiB/s | 1.1 MiB | 00m00s [ 81/154] Installing gdbm-1:1.23-6.fc40 100% | 227.8 MiB/s | 933.2 KiB | 00m00s [ 82/154] Installing cyrus-sasl-lib-0:2 100% | 310.7 MiB/s | 3.1 MiB | 00m00s [ 83/154] Installing zstd-0:1.5.6-1.fc4 100% | 338.2 MiB/s | 1.7 MiB | 00m00s [ 84/154] Installing libfdisk-0:2.40.1- 100% | 236.3 MiB/s | 483.9 KiB | 00m00s [ 85/154] Installing bzip2-0:1.0.8-18.f 100% | 210.9 MiB/s | 432.0 KiB | 00m00s [ 86/154] Installing libxml2-0:2.12.8-1 100% | 314.9 MiB/s | 2.2 MiB | 00m00s [ 87/154] Installing sqlite-libs-0:3.45 100% | 299.1 MiB/s | 1.5 MiB | 00m00s [ 88/154] Installing elfutils-default-y 100% | 255.4 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-4.fc40.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-4.fc40.noar [ 89/154] Installing ed-0:1.20.2-1.fc40 100% | 139.2 MiB/s | 285.0 KiB | 00m00s [ 90/154] Installing patch-0:2.7.6-24.f 100% | 191.4 MiB/s | 392.0 KiB | 00m00s [ 91/154] Installing cpio-0:2.15-1.fc40 100% | 203.4 MiB/s | 1.2 MiB | 00m00s [ 92/154] Installing diffutils-0:3.10-5 100% | 234.3 MiB/s | 2.1 MiB | 00m00s [ 93/154] Installing keyutils-libs-0:1. 100% | 222.4 MiB/s | 227.8 KiB | 00m00s [ 94/154] Installing libverto-0:0.3.2-8 100% | 194.6 MiB/s | 199.2 KiB | 00m00s [ 95/154] Installing libgomp-0:14.2.1-3 100% | 277.7 MiB/s | 568.7 KiB | 00m00s [ 96/154] Installing libpkgconf-0:2.1.1 100% | 194.5 MiB/s | 199.1 KiB | 00m00s [ 97/154] Installing pkgconf-0:2.1.1-2. 100% | 235.6 MiB/s | 241.2 KiB | 00m00s [ 98/154] Installing pkgconf-pkg-config 100% | 0.0 B/s | 1.8 KiB | 00m00s [ 99/154] Installing libbrotli-0:1.1.0- 100% | 285.1 MiB/s | 1.1 MiB | 00m00s [100/154] Installing libtool-ltdl-0:2.4 100% | 218.0 MiB/s | 223.3 KiB | 00m00s [101/154] Installing xxhash-libs-0:0.8. 100% | 208.6 MiB/s | 213.6 KiB | 00m00s [102/154] Installing libnghttp2-0:1.59. 100% | 257.0 MiB/s | 263.2 KiB | 00m00s [103/154] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [104/154] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [105/154] Installing openblas-srpm-macr 100% | 0.0 B/s | 384.0 B | 00m00s [106/154] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [107/154] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [108/154] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [109/154] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 992.0 B | 00m00s [110/154] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [111/154] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [112/154] Installing coreutils-common-0 100% | 301.7 MiB/s | 11.5 MiB | 00m00s [113/154] Installing openssl-libs-1:3.2 100% | 338.0 MiB/s | 7.8 MiB | 00m00s [114/154] Installing coreutils-0:9.4-8. 100% | 452.0 MiB/s | 20.8 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc40 >>> Stop pre-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc40.no [115/154] Installing ca-certificates-0: 100% | 2.3 MiB/s | 2.4 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc4 >>> Stop post-install scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc40.n [116/154] Installing krb5-libs-0:1.21.3 100% | 262.1 MiB/s | 3.4 MiB | 00m00s [117/154] Installing libtirpc-0:1.3.5-0 100% | 135.0 MiB/s | 276.4 KiB | 00m00s [118/154] Installing gzip-0:1.13-1.fc40 100% | 160.9 MiB/s | 494.3 KiB | 00m00s [119/154] Installing authselect-libs-0: 100% | 132.1 MiB/s | 946.7 KiB | 00m00s [120/154] Installing libarchive-0:3.7.2 100% | 254.0 MiB/s | 1.0 MiB | 00m00s [121/154] Installing authselect-0:1.5.0 100% | 102.1 MiB/s | 313.8 KiB | 00m00s [122/154] Installing cracklib-0:2.9.11- 100% | 132.0 MiB/s | 946.0 KiB | 00m00s [123/154] Installing libpwquality-0:1.4 100% | 158.2 MiB/s | 1.1 MiB | 00m00s [124/154] Installing libnsl2-0:2.0.1-1. 100% | 108.9 MiB/s | 223.0 KiB | 00m00s [125/154] Installing pam-0:1.6.1-3.fc40 100% | 355.9 MiB/s | 11.0 MiB | 00m00s [126/154] Installing libssh-0:0.10.6-5. 100% | 189.9 MiB/s | 583.2 KiB | 00m00s [127/154] Installing rpm-sequoia-0:1.7. 100% | 328.2 MiB/s | 2.3 MiB | 00m00s [128/154] Installing rpm-libs-0:4.19.1. 100% | 281.0 MiB/s | 863.2 KiB | 00m00s [129/154] Installing libevent-0:2.1.12- 100% | 380.7 MiB/s | 1.5 MiB | 00m00s [130/154] Installing openldap-0:2.6.7-1 100% | 248.7 MiB/s | 1.0 MiB | 00m00s [131/154] Installing libcurl-0:8.6.0-10 100% | 279.3 MiB/s | 857.9 KiB | 00m00s [132/154] Installing elfutils-libs-0:0. 100% | 245.1 MiB/s | 1.0 MiB | 00m00s [133/154] Installing elfutils-debuginfo 100% | 194.7 MiB/s | 398.7 KiB | 00m00s [134/154] Installing binutils-0:2.41-37 100% | 345.3 MiB/s | 32.8 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.41-37.fc40.aarch64 >>> Stop post-install scriptlet: binutils-0:2.41-37.fc40.aarch64 [135/154] Installing binutils-gold-0:2. 100% | 170.7 MiB/s | 3.1 MiB | 00m00s >>> Running post-install scriptlet: binutils-gold-0:2.41-37.fc40.aarch64 >>> Stop post-install scriptlet: binutils-gold-0:2.41-37.fc40.aarch64 [136/154] Installing elfutils-0:0.191-4 100% | 358.3 MiB/s | 5.0 MiB | 00m00s [137/154] Installing gdb-minimal-0:15.1 100% | 347.9 MiB/s | 13.2 MiB | 00m00s [138/154] Installing debugedit-0:5.0-14 100% | 244.9 MiB/s | 501.5 KiB | 00m00s [139/154] Installing rpm-build-libs-0:4 100% | 257.0 MiB/s | 263.2 KiB | 00m00s [140/154] Installing curl-0:8.6.0-10.fc 100% | 60.6 MiB/s | 868.9 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 >>> Stop pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 [141/154] Installing rpm-0:4.19.1.1-1.f 100% | 149.3 MiB/s | 3.4 MiB | 00m00s [142/154] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [143/154] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [144/154] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [145/154] Installing fonts-srpm-macros- 100% | 55.1 MiB/s | 56.5 KiB | 00m00s [146/154] Installing go-srpm-macros-0:3 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [147/154] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.4 KiB | 00m00s [148/154] Installing python-srpm-macros 100% | 50.6 MiB/s | 51.8 KiB | 00m00s [149/154] Installing redhat-rpm-config- 100% | 62.5 MiB/s | 191.9 KiB | 00m00s [150/154] Installing rpm-build-0:4.19.1 100% | 240.9 MiB/s | 1.2 MiB | 00m00s [151/154] Installing pyproject-srpm-mac 100% | 1.2 MiB/s | 2.5 KiB | 00m00s [152/154] Installing util-linux-0:2.40. 100% | 357.8 MiB/s | 17.5 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc40.aarch64 >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc40.aarch64 [153/154] Installing which-0:2.21-41.fc 100% | 122.2 MiB/s | 250.3 KiB | 00m00s [154/154] Installing info-0:7.1-2.fc40. 100% | 473.3 KiB/s | 613.9 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 >>> Stop post-transaction scriptlet: filesystem-0:3.18-8.fc40.aarch64 >>> Running post-transaction scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0 >>> Stop post-transaction scriptlet: ca-certificates-0:2024.2.69_v8.0.401-1.0.fc >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc40.aarch64 >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc40.aarch64 >>> Running post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 >>> Stop post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.aarch64 >>> Running trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 Warning: skipped PGP checks for 4 package(s). Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.27-1.fc40.aarch64 ansible-srpm-macros-1-14.fc40.noarch audit-libs-4.0.2-1.fc40.aarch64 authselect-1.5.0-5.fc40.aarch64 authselect-libs-1.5.0-5.fc40.aarch64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.aarch64 binutils-2.41-37.fc40.aarch64 binutils-gold-2.41-37.fc40.aarch64 bzip2-1.0.8-18.fc40.aarch64 bzip2-libs-1.0.8-18.fc40.aarch64 ca-certificates-2024.2.69_v8.0.401-1.0.fc40.noarch coreutils-9.4-8.fc40.aarch64 coreutils-common-9.4-8.fc40.aarch64 cpio-2.15-1.fc40.aarch64 cracklib-2.9.11-5.fc40.aarch64 crypto-policies-20240725-1.git28d3e2d.fc40.noarch curl-8.6.0-10.fc40.aarch64 cyrus-sasl-lib-2.1.28-19.fc40.aarch64 debugedit-5.0-14.fc40.aarch64 diffutils-3.10-5.fc40.aarch64 dwz-0.15-6.fc40.aarch64 ed-1.20.2-1.fc40.aarch64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-4.fc40.aarch64 elfutils-debuginfod-client-0.191-4.fc40.aarch64 elfutils-default-yama-scope-0.191-4.fc40.noarch elfutils-libelf-0.191-4.fc40.aarch64 elfutils-libs-0.191-4.fc40.aarch64 fedora-gpg-keys-40-2.noarch fedora-release-40-39.noarch fedora-release-common-40-39.noarch fedora-release-identity-basic-40-39.noarch fedora-repos-40-2.noarch file-5.45-4.fc40.aarch64 file-libs-5.45-4.fc40.aarch64 filesystem-3.18-8.fc40.aarch64 findutils-4.9.0-9.fc40.aarch64 fonts-srpm-macros-2.0.5-14.fc40.noarch forge-srpm-macros-0.3.2-1.fc40.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.aarch64 gdb-minimal-15.1-1.fc40.aarch64 gdbm-1.23-6.fc40.aarch64 gdbm-libs-1.23-6.fc40.aarch64 ghc-srpm-macros-1.9-1.fc40.noarch glibc-2.39.9999-99.fc40.aarch64 glibc-common-2.39.9999-99.fc40.aarch64 glibc-gconv-extra-2.39.9999-99.fc40.aarch64 glibc-minimal-langpack-2.39.9999-99.fc40.aarch64 gmp-6.2.1-8.fc40.aarch64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.5.0-1.fc40.noarch gpg-pubkey-a15b79cc-63d04c2c grep-3.11-7.fc40.aarch64 gzip-1.13-1.fc40.aarch64 info-7.1-2.fc40.aarch64 jansson-2.13.1-9.fc40.aarch64 kernel-srpm-macros-1.0-23.fc40.noarch keyutils-libs-1.6.3-3.fc40.aarch64 krb5-libs-1.21.3-1.fc40.aarch64 libacl-2.3.2-1.fc40.aarch64 libarchive-3.7.2-4.fc40.aarch64 libattr-2.5.2-3.fc40.aarch64 libblkid-2.40.1-1.fc40.aarch64 libbrotli-1.1.0-3.fc40.aarch64 libcap-2.69-8.fc40.aarch64 libcap-ng-0.8.4-4.fc40.aarch64 libcom_err-1.47.0-5.fc40.aarch64 libcurl-8.6.0-10.fc40.aarch64 libeconf-0.6.2-2.fc40.aarch64 libevent-2.1.12-12.fc40.aarch64 libfdisk-2.40.1-1.fc40.aarch64 libffi-3.4.4-7.fc40.aarch64 libgcc-14.2.1-3.fc40.aarch64 libgomp-14.2.1-3.fc40.aarch64 libidn2-2.3.7-1.fc40.aarch64 libmount-2.40.1-1.fc40.aarch64 libnghttp2-1.59.0-3.fc40.aarch64 libnsl2-2.0.1-1.fc40.aarch64 libpkgconf-2.1.1-2.fc40.aarch64 libpsl-0.21.5-3.fc40.aarch64 libpwquality-1.4.5-9.fc40.aarch64 libselinux-3.7-5.fc40.aarch64 libsemanage-3.7-2.fc40.aarch64 libsepol-3.7-2.fc40.aarch64 libsmartcols-2.40.1-1.fc40.aarch64 libssh-0.10.6-5.fc40.aarch64 libssh-config-0.10.6-5.fc40.noarch libstdc++-14.2.1-3.fc40.aarch64 libtasn1-4.19.0-6.fc40.aarch64 libtirpc-1.3.5-0.fc40.aarch64 libtool-ltdl-2.4.7-10.fc40.aarch64 libunistring-1.1-7.fc40.aarch64 libutempter-1.2.1-13.fc40.aarch64 libuuid-2.40.1-1.fc40.aarch64 libverto-0.3.2-8.fc40.aarch64 libxcrypt-4.4.36-5.fc40.aarch64 libxml2-2.12.8-1.fc40.aarch64 libzstd-1.5.6-1.fc40.aarch64 lua-libs-5.4.6-5.fc40.aarch64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.aarch64 mpfr-4.2.1-4.fc40.aarch64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.aarch64 ocaml-srpm-macros-9-3.fc40.noarch openblas-srpm-macros-2-16.fc40.noarch openldap-2.6.7-1.fc40.aarch64 openssl-libs-3.2.2-3.fc40.aarch64 p11-kit-0.25.5-1.fc40.aarch64 p11-kit-trust-0.25.5-1.fc40.aarch64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc40.aarch64 pam-libs-1.6.1-3.fc40.aarch64 patch-2.7.6-24.fc40.aarch64 pcre2-10.44-1.fc40.aarch64 pcre2-syntax-10.44-1.fc40.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-2.fc40.aarch64 pkgconf-m4-2.1.1-2.fc40.noarch pkgconf-pkg-config-2.1.1-2.fc40.aarch64 popt-1.19-6.fc40.aarch64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.15.0-1.fc40.noarch python-srpm-macros-3.12-8.fc40.noarch qt5-srpm-macros-5.15.15-1.fc40.noarch qt6-srpm-macros-6.7.2-2.fc40.noarch readline-8.2-8.fc40.aarch64 redhat-rpm-config-288-1.fc40.noarch rpm-4.19.1.1-1.fc40.aarch64 rpm-build-4.19.1.1-1.fc40.aarch64 rpm-build-libs-4.19.1.1-1.fc40.aarch64 rpm-libs-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.7.0-1.fc40.aarch64 rust-srpm-macros-26.3-1.fc40.noarch sed-4.9-1.fc40.aarch64 setup-2.14.5-2.fc40.noarch shadow-utils-4.15.1-3.fc40.aarch64 sqlite-libs-3.45.1-2.fc40.aarch64 systemd-libs-255.12-1.fc40.aarch64 tar-1.35-3.fc40.aarch64 unzip-6.0-63.fc40.aarch64 util-linux-2.40.1-1.fc40.aarch64 util-linux-core-2.40.1-1.fc40.aarch64 which-2.21-41.fc40.aarch64 xxhash-libs-0.8.2-2.fc40.aarch64 xz-5.4.6-3.fc40.aarch64 xz-libs-5.4.6-3.fc40.aarch64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.aarch64 zlib-ng-compat-2.1.7-2.fc40.aarch64 zstd-1.5.6-1.fc40.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-40-aarch64-1727898147.578266/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-aarch64-1727898147.578266/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-8vocf10y/nextpnr/nextpnr.spec) Config(child) 0 minutes 36 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm) Config(fedora-40-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1727898147.578266/root. INFO: reusing tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1727898147.578266/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-1727898147.578266/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.7.0-1.fc40.aarch64 python3-dnf-4.21.1-1.fc40.noarch yum-4.21.1-1.fc40.noarch dnf5-5.1.17-2.fc40.aarch64 dnf5-plugins-5.1.17-2.fc40.aarch64 Finish: chroot init Start: build phase for nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Start: build setup for nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Wrote: /builddir/build/SRPMS/nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Updating and loading repositories: fedora 100% | 304.1 KiB/s | 16.1 KiB | 00m00s Additional repo copr_rezso_ML 100% | 90.0 KiB/s | 1.5 KiB | 00m00s updates 100% | 56.6 KiB/s | 14.9 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 117.1 KiB/s | 1.5 KiB | 00m00s Copr repository 100% | 127.4 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: boost-devel aarch64 1.83.0-5.fc40 updates 143.0 MiB boost-filesystem aarch64 1.83.0-5.fc40 updates 262.9 KiB boost-iostreams aarch64 1.83.0-5.fc40 updates 198.2 KiB boost-program-options aarch64 1.83.0-5.fc40 updates 331.1 KiB boost-thread aarch64 1.83.0-5.fc40 updates 264.3 KiB capnproto aarch64 1.0.1-3.fc40 fedora 983.3 KiB capnproto-devel aarch64 1.0.1-3.fc40 fedora 2.2 MiB cmake aarch64 3.28.2-1.fc40 fedora 28.6 MiB eigen3-devel noarch 3.4.0-15.fc40 fedora 8.4 MiB gcc-c++ aarch64 14.2.1-3.fc40 updates 35.0 MiB git aarch64 2.46.2-1.fc40 updates 85.2 KiB icestorm aarch64 0-20240624.0.git738af822.fc40 copr_base 111.8 MiB json11-devel aarch64 1.0.0-10.fc39 copr_base 9.3 KiB make aarch64 1:4.4.1-6.fc40 fedora 1.8 MiB prjapicula noarch 0.14-20240912.0.git4f87247f.fc40 copr_base 14.5 MiB prjoxide-devel aarch64 0-20240105.0.git30712ff9.fc40 copr_base 28.6 KiB prjtrellis-devel aarch64 1.4-20240129.2.git2dab0095.fc40 copr_base 74.4 KiB prjtrellis-python3 aarch64 1.4-20240129.2.git2dab0095.fc40 copr_base 3.3 MiB prjxray aarch64 0.0.1-20240928.0.gitf2d21573.fc40 copr_base 1.4 MiB pybind11-devel aarch64 2.11.1-3.fc40 fedora 849.0 KiB pypy3.10 aarch64 7.3.15-2.3.10.fc40 fedora 257.9 KiB python3-devel aarch64 3.12.6-1.fc40 updates 1.2 MiB tbb-devel aarch64 2021.11.0-5.fc40 fedora 1.3 MiB tcl-devel aarch64 1:8.6.13-2.fc40 fedora 810.0 KiB tk-devel aarch64 1:8.6.13-3.fc40 fedora 984.5 KiB wget2-wget aarch64 2.1.0-11.fc40 updates 42.0 B yum noarch 4.21.1-1.fc40 updates 22.0 KiB Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 fedora 192.7 KiB annobin-docs noarch 12.60-1.fc40 updates 96.2 KiB annobin-plugin-gcc aarch64 12.60-1.fc40 updates 1.1 MiB boost aarch64 1.83.0-5.fc40 updates 1.3 KiB boost-atomic aarch64 1.83.0-5.fc40 updates 196.9 KiB boost-chrono aarch64 1.83.0-5.fc40 updates 197.4 KiB boost-container aarch64 1.83.0-5.fc40 updates 197.4 KiB boost-context aarch64 1.83.0-5.fc40 updates 196.4 KiB boost-contract aarch64 1.83.0-5.fc40 updates 198.6 KiB boost-coroutine aarch64 1.83.0-5.fc40 updates 197.1 KiB boost-date-time aarch64 1.83.0-5.fc40 updates 196.3 KiB boost-fiber aarch64 1.83.0-5.fc40 updates 198.3 KiB boost-graph aarch64 1.83.0-5.fc40 updates 461.3 KiB boost-json aarch64 1.83.0-5.fc40 updates 392.1 KiB boost-locale aarch64 1.83.0-5.fc40 updates 659.2 KiB boost-log aarch64 1.83.0-5.fc40 updates 1.7 MiB boost-math aarch64 1.83.0-5.fc40 updates 1.5 MiB boost-nowide aarch64 1.83.0-5.fc40 updates 196.9 KiB boost-numpy3 aarch64 1.83.0-5.fc40 updates 197.3 KiB boost-python3 aarch64 1.83.0-5.fc40 updates 332.5 KiB boost-random aarch64 1.83.0-5.fc40 updates 197.5 KiB boost-regex aarch64 1.83.0-5.fc40 updates 330.2 KiB boost-serialization aarch64 1.83.0-5.fc40 updates 659.4 KiB boost-stacktrace aarch64 1.83.0-5.fc40 updates 587.8 KiB boost-system aarch64 1.83.0-5.fc40 updates 196.3 KiB boost-test aarch64 1.83.0-5.fc40 updates 792.4 KiB boost-timer aarch64 1.83.0-5.fc40 updates 197.0 KiB boost-type_erasure aarch64 1.83.0-5.fc40 updates 198.0 KiB boost-wave aarch64 1.83.0-5.fc40 updates 726.1 KiB brotli aarch64 1.1.0-3.fc40 fedora 195.7 KiB brotli-devel aarch64 1.1.0-3.fc40 fedora 65.6 KiB bzip2-devel aarch64 1.0.8-18.fc40 fedora 309.8 KiB cairo aarch64 1.18.0-3.fc40 fedora 2.0 MiB cairo-devel aarch64 1.18.0-3.fc40 fedora 2.3 MiB capnproto-libs aarch64 1.0.1-3.fc40 fedora 5.5 MiB cmake-data noarch 3.28.2-1.fc40 fedora 8.0 MiB cmake-filesystem aarch64 3.28.2-1.fc40 fedora 0.0 B cmake-rpm-macros noarch 3.28.2-1.fc40 fedora 7.4 KiB cpp aarch64 14.2.1-3.fc40 updates 31.8 MiB dbus aarch64 1:1.14.10-3.fc40 fedora 0.0 B dbus-broker aarch64 36-2.fc40 updates 614.1 KiB dbus-common noarch 1:1.14.10-3.fc40 fedora 11.2 KiB default-fonts-core-sans noarch 4.0-13.fc40 updates 11.9 KiB dnf noarch 4.21.1-1.fc40 updates 2.5 MiB dnf-data noarch 4.21.1-1.fc40 updates 38.4 KiB emacs-filesystem noarch 1:29.4-9.fc40 updates 0.0 B expat aarch64 2.6.3-1.fc40 updates 539.4 KiB fasm-python3 noarch 0.0.2-20220725.4.gitffafe821.fc40 copr_base 126.8 KiB flexiblas aarch64 3.4.4-1.fc40 updates 48.5 KiB flexiblas-netlib aarch64 3.4.4-1.fc40 updates 9.6 MiB flexiblas-openblas-openmp aarch64 3.4.4-1.fc40 updates 195.3 KiB fontconfig aarch64 2.15.0-6.fc40 updates 2.4 MiB fontconfig-devel aarch64 2.15.0-6.fc40 updates 117.2 KiB fonts-filesystem noarch 1:2.0.5-14.fc40 fedora 0.0 B freetype aarch64 2.13.2-5.fc40 fedora 942.9 KiB freetype-devel aarch64 2.13.2-5.fc40 fedora 7.8 MiB gc aarch64 8.2.2-6.fc40 fedora 850.3 KiB gcc aarch64 14.2.1-3.fc40 updates 93.8 MiB gcc-plugin-annobin aarch64 14.2.1-3.fc40 updates 197.0 KiB gettext aarch64 0.22.5-4.fc40 updates 8.2 MiB gettext-envsubst aarch64 0.22.5-4.fc40 updates 234.8 KiB gettext-libs aarch64 0.22.5-4.fc40 updates 2.0 MiB gettext-runtime aarch64 0.22.5-4.fc40 updates 793.0 KiB gflags aarch64 2.2.2-14.fc40 fedora 556.3 KiB git-core aarch64 2.46.2-1.fc40 updates 22.8 MiB git-core-doc noarch 2.46.2-1.fc40 updates 17.1 MiB glib2 aarch64 2.80.3-1.fc40 updates 16.5 MiB glib2-devel aarch64 2.80.3-1.fc40 updates 16.6 MiB glibc-devel aarch64 2.39.9999-99.fc40 copr_rezso_ML 2.2 MiB gnupg2 aarch64 2.4.4-1.fc40 fedora 12.3 MiB gnutls aarch64 3.8.6-1.fc40 updates 3.4 MiB gnutls-dane aarch64 3.8.6-1.fc40 updates 197.6 KiB google-noto-fonts-common noarch 20240301-2.fc40 fedora 17.5 KiB google-noto-sans-vf-fonts noarch 20240301-2.fc40 fedora 1.2 MiB gpgme aarch64 1.23.2-3.fc40 fedora 810.8 KiB graphite2 aarch64 1.3.14-15.fc40 fedora 495.7 KiB graphite2-devel aarch64 1.3.14-15.fc40 fedora 49.1 KiB groff-base aarch64 1.23.0-6.fc40 fedora 5.4 MiB guile30 aarch64 3.0.7-12.fc40 fedora 52.0 MiB harfbuzz aarch64 8.5.0-1.fc40 updates 3.0 MiB harfbuzz-devel aarch64 8.5.0-1.fc40 updates 5.7 MiB harfbuzz-icu aarch64 8.5.0-1.fc40 updates 195.5 KiB hwloc-libs aarch64 2.11.1-1.fc40 updates 2.9 MiB ima-evm-utils aarch64 1.5-4.fc40 fedora 440.7 KiB json-c aarch64 0.17-3.fc40 fedora 202.3 KiB json11 aarch64 1.0.0-10.fc39 copr_base 199.8 KiB jsoncpp aarch64 1.9.5-7.fc40 fedora 335.6 KiB kernel-headers aarch64 6.10.3-200.fc40 updates 6.2 MiB kmod-libs aarch64 31-5.fc40 fedora 287.1 KiB less aarch64 643-6.fc40 updates 800.2 KiB libX11 aarch64 1.8.10-2.fc40 updates 1.3 MiB libX11-common noarch 1.8.10-2.fc40 updates 1.1 MiB libX11-devel aarch64 1.8.10-2.fc40 updates 1.0 MiB libX11-xcb aarch64 1.8.10-2.fc40 updates 195.0 KiB libXau aarch64 1.0.11-6.fc40 fedora 242.8 KiB libXau-devel aarch64 1.0.11-6.fc40 fedora 6.4 KiB libXext aarch64 1.3.6-1.fc40 fedora 209.9 KiB libXext-devel aarch64 1.3.6-1.fc40 fedora 98.9 KiB libXft aarch64 2.3.8-6.fc40 fedora 256.4 KiB libXft-devel aarch64 2.3.8-6.fc40 fedora 31.7 KiB libXrender aarch64 0.9.11-6.fc40 fedora 198.1 KiB libXrender-devel aarch64 0.9.11-6.fc40 fedora 50.1 KiB libasan aarch64 14.2.1-3.fc40 updates 1.6 MiB libassuan aarch64 2.5.7-1.fc40 fedora 279.7 KiB libatomic aarch64 14.2.1-3.fc40 updates 196.9 KiB libb2 aarch64 0.98.1-11.fc40 fedora 202.1 KiB libblkid-devel aarch64 2.40.1-1.fc40 updates 44.9 KiB libcbor aarch64 0.11.0-1.fc40 fedora 201.9 KiB libcomps aarch64 0.1.20-5.fc40 fedora 285.3 KiB libdnf aarch64 0.73.3-1.fc40 updates 2.1 MiB libedit aarch64 3.1-53.20240808cvs.fc40 updates 344.1 KiB libffi-devel aarch64 3.4.4-7.fc40 fedora 30.0 KiB libfido2 aarch64 1.14.0-4.fc40 fedora 341.9 KiB libfsverity aarch64 1.4-12.fc40 fedora 196.5 KiB libftdi aarch64 1.5-12.fc40 fedora 222.0 KiB libgcrypt aarch64 1.10.3-3.fc40 fedora 1.1 MiB libgfortran aarch64 14.2.1-3.fc40 updates 1.5 MiB libgpg-error aarch64 1.49-1.fc40 updates 1.1 MiB libicu aarch64 74.2-1.fc40 fedora 35.9 MiB libicu-devel aarch64 74.2-1.fc40 fedora 5.7 MiB libksba aarch64 1.6.6-1.fc40 fedora 524.8 KiB libmodulemd aarch64 2.15.0-12.fc40 updates 979.7 KiB libmount-devel aarch64 2.40.1-1.fc40 updates 63.5 KiB libmpc aarch64 1.3.1-5.fc40 fedora 280.7 KiB libpng aarch64 2:1.6.40-3.fc40 fedora 333.6 KiB libpng-devel aarch64 2:1.6.40-3.fc40 fedora 1.2 MiB librepo aarch64 1.18.1-1.fc40 updates 292.2 KiB libseccomp aarch64 2.5.5-1.fc40 updates 241.3 KiB libselinux-devel aarch64 3.7-5.fc40 updates 126.4 KiB libsepol-devel aarch64 3.7-2.fc40 updates 120.3 KiB libsolv aarch64 0.7.30-1.fc40 updates 1.0 MiB libstdc++-devel aarch64 14.2.1-3.fc40 updates 15.1 MiB libtextstyle aarch64 0.22.5-4.fc40 updates 263.2 KiB libubsan aarch64 14.2.1-3.fc40 updates 539.3 KiB libusb1 aarch64 1.0.27-2.fc40 updates 242.2 KiB libuv aarch64 1:1.49.0-1.fc40 updates 659.3 KiB libxcb aarch64 1.17.0-1.fc40 updates 5.0 MiB libxcb-devel aarch64 1.17.0-1.fc40 updates 2.7 MiB libxcrypt-devel aarch64 4.4.36-5.fc40 fedora 30.3 KiB libxml2-devel aarch64 2.12.8-1.fc40 updates 3.4 MiB libyaml aarch64 0.2.5-14.fc40 fedora 262.5 KiB mpdecimal aarch64 2.5.1-9.fc40 fedora 328.7 KiB ncurses aarch64 6.4-12.20240127.fc40 fedora 1.7 MiB nettle aarch64 3.9.1-6.fc40 fedora 953.6 KiB npth aarch64 1.7-1.fc40 fedora 221.5 KiB openblas aarch64 0.3.26-4.fc40 fedora 96.0 KiB openblas-openmp aarch64 0.3.26-4.fc40 fedora 19.5 MiB openssh aarch64 9.6p1-1.fc40.4 updates 2.0 MiB openssh-clients aarch64 9.6p1-1.fc40.4 updates 3.5 MiB pcre2-devel aarch64 10.44-1.fc40 updates 2.0 MiB pcre2-utf16 aarch64 10.44-1.fc40 updates 645.8 KiB pcre2-utf32 aarch64 10.44-1.fc40 updates 645.7 KiB perl-AutoLoader noarch 5.74-506.fc40 fedora 20.5 KiB perl-B aarch64 1.88-506.fc40 fedora 604.3 KiB perl-Carp noarch 1.54-502.fc40 fedora 46.5 KiB perl-Class-Struct noarch 0.68-506.fc40 fedora 25.4 KiB perl-Data-Dumper aarch64 2.188-503.fc40 fedora 263.6 KiB perl-Digest noarch 1.20-502.fc40 fedora 35.2 KiB perl-Digest-MD5 aarch64 2.59-3.fc40 fedora 231.7 KiB perl-DynaLoader aarch64 1.54-506.fc40 fedora 32.1 KiB perl-Encode aarch64 4:3.21-505.fc40 fedora 10.9 MiB perl-Errno aarch64 1.37-506.fc40 fedora 8.4 KiB perl-Error noarch 1:0.17029-15.fc40 fedora 77.2 KiB perl-Exporter noarch 5.78-3.fc40 fedora 54.2 KiB perl-Fcntl aarch64 1.15-506.fc40 fedora 200.6 KiB perl-File-Basename noarch 2.86-506.fc40 fedora 14.0 KiB perl-File-Find noarch 1.43-506.fc40 fedora 41.9 KiB perl-File-Path noarch 2.18-503.fc40 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 fedora 162.3 KiB perl-File-stat noarch 1.13-506.fc40 fedora 12.7 KiB perl-FileHandle noarch 2.05-506.fc40 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.57-4.fc40 updates 144.1 KiB perl-Getopt-Std noarch 1.13-506.fc40 fedora 11.1 KiB perl-Git noarch 2.46.2-1.fc40 updates 64.0 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 fedora 152.1 KiB perl-IO aarch64 1.52-506.fc40 fedora 319.0 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 fedora 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 fedora 685.0 KiB perl-IPC-Open3 noarch 1.22-506.fc40 fedora 22.4 KiB perl-MIME-Base64 aarch64 3.16-503.fc40 fedora 222.0 KiB perl-Mozilla-CA noarch 20231213-3.fc40 fedora 9.1 KiB perl-Net-SSLeay aarch64 1.94-3.fc40 fedora 1.4 MiB perl-POSIX aarch64 2.13-506.fc40 fedora 325.0 KiB perl-PathTools aarch64 3.89-502.fc40 fedora 351.6 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 fedora 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 fedora 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc40 updates 84.7 KiB perl-Scalar-List-Utils aarch64 5:1.63-503.fc40 fedora 277.4 KiB perl-SelectSaver noarch 1.02-506.fc40 fedora 2.2 KiB perl-Socket aarch64 4:2.038-1.fc40 updates 272.0 KiB perl-Storable aarch64 1:3.32-502.fc40 fedora 372.3 KiB perl-Symbol noarch 1.09-506.fc40 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 fedora 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 fedora 29.3 KiB perl-TermReadKey aarch64 2.38-21.fc40 fedora 236.0 KiB perl-Text-ParseWords noarch 3.31-502.fc40 fedora 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc40 fedora 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 fedora 68.9 KiB perl-URI noarch 5.28-1.fc40 updates 240.2 KiB perl-base noarch 2.27-506.fc40 fedora 12.5 KiB perl-constant noarch 1.33-503.fc40 fedora 26.2 KiB perl-if noarch 0.61.000-506.fc40 fedora 5.8 KiB perl-interpreter aarch64 4:5.38.2-506.fc40 fedora 299.7 KiB perl-lib aarch64 0.65-506.fc40 fedora 8.5 KiB perl-libnet noarch 3.15-503.fc40 fedora 289.0 KiB perl-libs aarch64 4:5.38.2-506.fc40 fedora 11.2 MiB perl-locale noarch 1.10-506.fc40 fedora 6.2 KiB perl-mro aarch64 1.28-506.fc40 fedora 209.6 KiB perl-overload noarch 1.37-506.fc40 fedora 71.5 KiB perl-overloading noarch 0.02-506.fc40 fedora 4.8 KiB perl-parent noarch 1:0.241-502.fc40 fedora 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 fedora 308.1 KiB perl-vars noarch 1.05-506.fc40 fedora 3.9 KiB pixman aarch64 0.43.4-1.fc40 updates 718.2 KiB pixman-devel aarch64 0.43.4-1.fc40 updates 49.4 KiB prjoxide aarch64 0-20240105.0.git30712ff9.fc40 copr_base 23.5 MiB prjoxide-data noarch 0-20240105.0.git30712ff9.fc40 copr_base 18.0 MiB prjtrellis aarch64 1.4-20240129.2.git2dab0095.fc40 copr_base 2.5 MiB prjtrellis-data noarch 1.4-20240129.2.git2dab0095.fc40 copr_base 81.2 MiB prjxray-data noarch 0.0.1-20240928.0.gitf2d21573.fc40 copr_base 405.9 MiB prjxray-python3 aarch64 0.0.1-20240928.0.gitf2d21573.fc40 copr_base 31.7 MiB protobuf-c aarch64 1.5.0-3.fc40 fedora 205.9 KiB pyproject-rpm-macros noarch 1.15.0-1.fc40 updates 109.0 KiB pypy3.10-libs aarch64 7.3.15-2.3.10.fc40 fedora 91.4 MiB python-pip-wheel noarch 23.3.2-1.fc40 fedora 1.5 MiB python-rpm-macros noarch 3.12-8.fc40 updates 22.1 KiB python-setuptools-wheel noarch 69.0.3-4.fc40 updates 748.4 KiB python3 aarch64 3.12.6-1.fc40 updates 211.4 KiB python3-arpeggio noarch 2.0.2-3.fc40 fedora 541.8 KiB python3-crc noarch 7.0.0-1.fc40 copr_base 49.3 KiB python3-dnf noarch 4.21.1-1.fc40 updates 2.6 MiB python3-hawkey aarch64 0.73.3-1.fc40 updates 508.3 KiB python3-intervaltree noarch 3.1.0-12.fc40 fedora 255.2 KiB python3-libcomps aarch64 0.1.20-5.fc40 fedora 215.7 KiB python3-libdnf aarch64 0.73.3-1.fc40 updates 4.7 MiB python3-libs aarch64 3.12.6-1.fc40 updates 51.5 MiB python3-numpy aarch64 1:1.26.4-3.fc40 updates 41.5 MiB python3-packaging noarch 23.2-4.fc40 fedora 421.1 KiB python3-pyyaml aarch64 6.0.1-14.fc40 fedora 858.3 KiB python3-rpm aarch64 4.19.1.1-1.fc40 fedora 243.7 KiB python3-rpm-generators noarch 14-10.fc40 fedora 81.7 KiB python3-rpm-macros noarch 3.12-8.fc40 updates 6.4 KiB python3-simplejson aarch64 3.19.2-3.fc40 fedora 610.3 KiB python3-sortedcontainers noarch 2.4.0-15.fc40 fedora 408.2 KiB rhash aarch64 1.4.3-4.fc40 fedora 584.6 KiB rpm-sign-libs aarch64 4.19.1.1-1.fc40 fedora 195.4 KiB sysprof-capture-devel aarch64 46.0-1.fc40 fedora 276.9 KiB systemd aarch64 255.12-1.fc40 updates 27.1 MiB systemd-pam aarch64 255.12-1.fc40 updates 1.4 MiB systemd-rpm-macros noarch 255.12-1.fc40 updates 9.5 KiB tbb aarch64 2021.11.0-5.fc40 fedora 868.0 KiB tbb-bind aarch64 2021.11.0-5.fc40 fedora 195.6 KiB tcl aarch64 1:8.6.13-2.fc40 fedora 4.6 MiB textx-python3 noarch 4.0.1-20240808.0.git45bba74b.fc40 copr_base 2.8 MiB tk aarch64 1:8.6.13-3.fc40 fedora 4.0 MiB tpm2-tss aarch64 4.1.3-1.fc40 updates 3.6 MiB tzdata noarch 2024a-5.fc40 updates 1.6 MiB unbound-libs aarch64 1.20.0-1.fc40 updates 1.5 MiB vim-filesystem noarch 2:9.1.719-1.fc40 updates 40.0 B wget2 aarch64 2.1.0-11.fc40 updates 956.0 KiB wget2-libs aarch64 2.1.0-11.fc40 updates 433.6 KiB xml-common noarch 0.6.3-63.fc40 fedora 78.4 KiB xorg-x11-proto-devel noarch 2024.1-2.fc40 updates 1.7 MiB xz-devel aarch64 1:5.4.6-3.fc40 fedora 255.8 KiB yaml-cpp aarch64 0.7.0-5.fc40 fedora 331.8 KiB zchunk-libs aarch64 1.5.1-1.fc40 updates 201.1 KiB zlib-ng-compat-devel aarch64 2.1.7-2.fc40 updates 106.8 KiB Transaction Summary: Installing: 295 packages Total size of inbound packages is 256 MiB. Need to download 256 MiB. After this operation 2 GiB will be used (install 2 GiB, remove 0 B). [ 1/295] capnproto-0:1.0.1-3.fc40.aarc 100% | 8.0 MiB/s | 263.2 KiB | 00m00s [ 2/295] cmake-0:3.28.2-1.fc40.aarch64 100% | 187.6 MiB/s | 7.7 MiB | 00m00s [ 3/295] capnproto-devel-0:1.0.1-3.fc4 100% | 8.6 MiB/s | 379.5 KiB | 00m00s [ 4/295] eigen3-devel-0:3.4.0-15.fc40. 100% | 90.7 MiB/s | 1.2 MiB | 00m00s [ 5/295] json11-devel-0:1.0.0-10.fc39. 100% | 1.3 MiB/s | 11.6 KiB | 00m00s [ 6/295] make-1:4.4.1-6.fc40.aarch64 100% | 63.8 MiB/s | 587.7 KiB | 00m00s [ 7/295] prjoxide-devel-0:0-20240105.0 100% | 4.6 MiB/s | 18.9 KiB | 00m00s [ 8/295] prjtrellis-devel-0:1.4-202401 100% | 4.8 MiB/s | 29.5 KiB | 00m00s [ 9/295] prjtrellis-python3-0:1.4-2024 100% | 101.7 MiB/s | 832.9 KiB | 00m00s [ 10/295] prjxray-0:0.0.1-20240928.0.gi 100% | 18.8 MiB/s | 154.3 KiB | 00m00s [ 11/295] pybind11-devel-0:2.11.1-3.fc4 100% | 57.3 MiB/s | 176.1 KiB | 00m00s [ 12/295] tbb-devel-0:2021.11.0-5.fc40. 100% | 46.9 MiB/s | 240.0 KiB | 00m00s [ 13/295] prjapicula-0:0.14-20240912.0. 100% | 228.0 MiB/s | 8.4 MiB | 00m00s [ 14/295] pypy3.10-0:7.3.15-2.3.10.fc40 100% | 439.8 KiB/s | 13.2 KiB | 00m00s [ 15/295] tcl-devel-1:8.6.13-2.fc40.aar 100% | 7.2 MiB/s | 169.7 KiB | 00m00s [ 16/295] boost-iostreams-0:1.83.0-5.fc 100% | 38.4 MiB/s | 39.3 KiB | 00m00s [ 17/295] boost-filesystem-0:1.83.0-5.f 100% | 30.9 MiB/s | 63.3 KiB | 00m00s [ 18/295] boost-program-options-0:1.83. 100% | 50.3 MiB/s | 103.0 KiB | 00m00s [ 19/295] tk-devel-1:8.6.13-3.fc40.aarc 100% | 18.9 MiB/s | 540.8 KiB | 00m00s [ 20/295] boost-thread-0:1.83.0-5.fc40. 100% | 2.9 MiB/s | 59.1 KiB | 00m00s [ 21/295] git-0:2.46.2-1.fc40.aarch64 100% | 8.4 MiB/s | 51.9 KiB | 00m00s [ 22/295] boost-devel-0:1.83.0-5.fc40.a 100% | 205.4 MiB/s | 14.2 MiB | 00m00s [ 23/295] python3-devel-0:3.12.6-1.fc40 100% | 51.0 MiB/s | 313.4 KiB | 00m00s [ 24/295] gcc-c++-0:14.2.1-3.fc40.aarch 100% | 167.1 MiB/s | 12.9 MiB | 00m00s [ 25/295] wget2-wget-0:2.1.0-11.fc40.aa 100% | 756.1 KiB/s | 9.1 KiB | 00m00s [ 26/295] yum-0:4.21.1-1.fc40.noarch 100% | 17.9 MiB/s | 36.7 KiB | 00m00s [ 27/295] icestorm-0:0-20240624.0.git73 100% | 139.0 MiB/s | 10.1 MiB | 00m00s [ 28/295] cmake-filesystem-0:3.28.2-1.f 100% | 1.6 MiB/s | 17.5 KiB | 00m00s [ 29/295] jsoncpp-0:1.9.5-7.fc40.aarch6 100% | 29.8 MiB/s | 91.4 KiB | 00m00s [ 30/295] rhash-0:1.4.3-4.fc40.aarch64 100% | 23.6 MiB/s | 193.6 KiB | 00m00s [ 31/295] cmake-data-0:3.28.2-1.fc40.no 100% | 151.3 MiB/s | 2.3 MiB | 00m00s [ 32/295] json11-0:1.0.0-10.fc39.aarch6 100% | 9.2 MiB/s | 37.6 KiB | 00m00s [ 33/295] prjoxide-data-0:0-20240105.0. 100% | 76.9 MiB/s | 944.6 KiB | 00m00s [ 34/295] capnproto-libs-0:1.0.1-3.fc40 100% | 28.0 MiB/s | 1.3 MiB | 00m00s [ 35/295] guile30-0:3.0.7-12.fc40.aarch 100% | 281.1 MiB/s | 8.2 MiB | 00m00s [ 36/295] prjtrellis-0:1.4-20240129.2.g 100% | 27.4 MiB/s | 476.5 KiB | 00m00s [ 37/295] prjtrellis-data-0:1.4-2024012 100% | 63.7 MiB/s | 1.1 MiB | 00m00s [ 38/295] gflags-0:2.2.2-14.fc40.aarch6 100% | 14.6 MiB/s | 89.6 KiB | 00m00s [ 39/295] yaml-cpp-0:0.7.0-5.fc40.aarch 100% | 23.3 MiB/s | 119.2 KiB | 00m00s [ 40/295] prjxray-python3-0:0.0.1-20240 100% | 123.7 MiB/s | 3.7 MiB | 00m00s [ 41/295] prjxray-data-0:0.0.1-20240928 100% | 161.9 MiB/s | 6.6 MiB | 00m00s [ 42/295] tbb-0:2021.11.0-5.fc40.aarch6 100% | 13.2 MiB/s | 134.9 KiB | 00m00s [ 43/295] tbb-bind-0:2021.11.0-5.fc40.a 100% | 6.0 MiB/s | 18.5 KiB | 00m00s [ 44/295] libXft-devel-0:2.3.8-6.fc40.a 100% | 16.3 MiB/s | 50.2 KiB | 00m00s [ 45/295] tk-1:8.6.13-3.fc40.aarch64 100% | 95.3 MiB/s | 1.6 MiB | 00m00s [ 46/295] tcl-1:8.6.13-2.fc40.aarch64 100% | 42.3 MiB/s | 1.1 MiB | 00m00s [ 47/295] libicu-devel-0:74.2-1.fc40.aa 100% | 101.0 MiB/s | 930.8 KiB | 00m00s [ 48/295] boost-system-0:1.83.0-5.fc40. 100% | 483.1 KiB/s | 15.0 KiB | 00m00s [ 49/295] boost-0:1.83.0-5.fc40.aarch64 100% | 695.7 KiB/s | 13.2 KiB | 00m00s [ 50/295] boost-numpy3-0:1.83.0-5.fc40. 100% | 27.1 MiB/s | 27.8 KiB | 00m00s [ 51/295] libmpc-0:1.3.1-5.fc40.aarch64 100% | 35.3 MiB/s | 72.4 KiB | 00m00s [ 52/295] libstdc++-devel-0:14.2.1-3.fc 100% | 114.2 MiB/s | 2.7 MiB | 00m00s [ 53/295] perl-File-Basename-0:2.86-506 100% | 1.9 MiB/s | 17.6 KiB | 00m00s [ 54/295] perl-File-Find-0:1.43-506.fc4 100% | 2.8 MiB/s | 25.7 KiB | 00m00s [ 55/295] pypy3.10-libs-0:7.3.15-2.3.10 100% | 110.7 MiB/s | 17.8 MiB | 00m00s [ 56/295] perl-IPC-Open3-0:1.22-506.fc4 100% | 1.1 MiB/s | 22.3 KiB | 00m00s [ 57/295] perl-PathTools-0:3.89-502.fc4 100% | 8.5 MiB/s | 87.5 KiB | 00m00s [ 58/295] perl-TermReadKey-0:2.38-21.fc 100% | 3.8 MiB/s | 35.5 KiB | 00m00s [ 59/295] perl-interpreter-4:5.38.2-506 100% | 8.8 MiB/s | 72.3 KiB | 00m00s [ 60/295] perl-lib-0:0.65-506.fc40.aarc 100% | 1.9 MiB/s | 15.4 KiB | 00m00s [ 61/295] perl-libs-4:5.38.2-506.fc40.a 100% | 116.5 MiB/s | 2.3 MiB | 00m00s [ 62/295] git-core-0:2.46.2-1.fc40.aarc 100% | 145.3 MiB/s | 4.9 MiB | 00m00s [ 63/295] git-core-doc-0:2.46.2-1.fc40. 100% | 98.5 MiB/s | 3.0 MiB | 00m00s [ 64/295] perl-Git-0:2.46.2-1.fc40.noar 100% | 2.4 MiB/s | 38.7 KiB | 00m00s [ 65/295] libftdi-0:1.5-12.fc40.aarch64 100% | 4.7 MiB/s | 43.7 KiB | 00m00s [ 66/295] python3-0:3.12.6-1.fc40.aarch 100% | 3.4 MiB/s | 28.1 KiB | 00m00s [ 67/295] gcc-0:14.2.1-3.fc40.aarch64 100% | 191.9 MiB/s | 33.8 MiB | 00m00s [ 68/295] wget2-0:2.1.0-11.fc40.aarch64 100% | 7.0 MiB/s | 250.8 KiB | 00m00s [ 69/295] dnf-0:4.21.1-1.fc40.noarch 100% | 42.2 MiB/s | 475.5 KiB | 00m00s [ 70/295] gc-0:8.2.2-6.fc40.aarch64 100% | 13.4 MiB/s | 109.7 KiB | 00m00s [ 71/295] python3-libs-0:3.12.6-1.fc40. 100% | 165.2 MiB/s | 9.1 MiB | 00m00s [ 72/295] python3-pyyaml-0:6.0.1-14.fc4 100% | 54.9 MiB/s | 224.8 KiB | 00m00s [ 73/295] python3-simplejson-0:3.19.2-3 100% | 79.1 MiB/s | 162.1 KiB | 00m00s [ 74/295] python3-intervaltree-0:3.1.0- 100% | 2.4 MiB/s | 60.2 KiB | 00m00s [ 75/295] python-pip-wheel-0:23.3.2-1.f 100% | 245.1 MiB/s | 1.5 MiB | 00m00s [ 76/295] fasm-python3-0:0.0.2-20220725 100% | 2.9 MiB/s | 41.7 KiB | 00m00s [ 77/295] prjoxide-0:0-20240105.0.git30 100% | 72.1 MiB/s | 2.9 MiB | 00m00s [ 78/295] libXft-0:2.3.8-6.fc40.aarch64 100% | 13.9 MiB/s | 71.3 KiB | 00m00s [ 79/295] freetype-devel-0:2.13.2-5.fc4 100% | 103.2 MiB/s | 951.2 KiB | 00m00s [ 80/295] libXrender-devel-0:0.9.11-6.f 100% | 6.2 MiB/s | 18.9 KiB | 00m00s [ 81/295] boost-atomic-0:1.83.0-5.fc40. 100% | 9.1 MiB/s | 18.6 KiB | 00m00s [ 82/295] boost-chrono-0:1.83.0-5.fc40. 100% | 8.0 MiB/s | 24.6 KiB | 00m00s [ 83/295] boost-context-0:1.83.0-5.fc40 100% | 2.6 MiB/s | 16.2 KiB | 00m00s [ 84/295] boost-container-0:1.83.0-5.fc 100% | 2.1 MiB/s | 38.6 KiB | 00m00s [ 85/295] boost-coroutine-0:1.83.0-5.fc 100% | 1.5 MiB/s | 19.7 KiB | 00m00s [ 86/295] boost-date-time-0:1.83.0-5.fc 100% | 3.7 MiB/s | 15.1 KiB | 00m00s [ 87/295] boost-contract-0:1.83.0-5.fc4 100% | 1.5 MiB/s | 42.8 KiB | 00m00s [ 88/295] libicu-0:74.2-1.fc40.aarch64 100% | 203.1 MiB/s | 10.4 MiB | 00m00s [ 89/295] boost-fiber-0:1.83.0-5.fc40.a 100% | 3.4 MiB/s | 41.2 KiB | 00m00s [ 90/295] boost-json-0:1.83.0-5.fc40.aa 100% | 68.5 MiB/s | 140.3 KiB | 00m00s [ 91/295] boost-locale-0:1.83.0-5.fc40. 100% | 97.8 MiB/s | 200.3 KiB | 00m00s [ 92/295] boost-graph-0:1.83.0-5.fc40.a 100% | 6.6 MiB/s | 141.9 KiB | 00m00s [ 93/295] boost-nowide-0:1.83.0-5.fc40. 100% | 19.5 MiB/s | 20.0 KiB | 00m00s [ 94/295] boost-math-0:1.83.0-5.fc40.aa 100% | 14.8 MiB/s | 258.5 KiB | 00m00s [ 95/295] boost-random-0:1.83.0-5.fc40. 100% | 22.9 MiB/s | 23.5 KiB | 00m00s [ 96/295] boost-regex-0:1.83.0-5.fc40.a 100% | 26.2 MiB/s | 107.3 KiB | 00m00s [ 97/295] boost-python3-0:1.83.0-5.fc40 100% | 5.7 MiB/s | 88.1 KiB | 00m00s [ 98/295] boost-log-0:1.83.0-5.fc40.aar 100% | 14.0 MiB/s | 457.4 KiB | 00m00s [ 99/295] boost-stacktrace-0:1.83.0-5.f 100% | 1.8 MiB/s | 28.9 KiB | 00m00s [100/295] boost-serialization-0:1.83.0- 100% | 4.4 MiB/s | 129.4 KiB | 00m00s [101/295] boost-timer-0:1.83.0-5.fc40.a 100% | 1.8 MiB/s | 20.8 KiB | 00m00s [102/295] boost-test-0:1.83.0-5.fc40.aa 100% | 8.0 MiB/s | 228.3 KiB | 00m00s [103/295] boost-type_erasure-0:1.83.0-5 100% | 1.5 MiB/s | 31.4 KiB | 00m00s [104/295] boost-wave-0:1.83.0-5.fc40.aa 100% | 10.1 MiB/s | 206.5 KiB | 00m00s [105/295] perl-Carp-0:1.54-502.fc40.noa 100% | 7.0 MiB/s | 28.7 KiB | 00m00s [106/295] perl-Exporter-0:5.78-3.fc40.n 100% | 6.0 MiB/s | 30.8 KiB | 00m00s [107/295] perl-Fcntl-0:1.15-506.fc40.aa 100% | 6.9 MiB/s | 21.2 KiB | 00m00s [108/295] perl-IO-0:1.52-506.fc40.aarch 100% | 27.0 MiB/s | 82.9 KiB | 00m00s [109/295] perl-POSIX-0:2.13-506.fc40.aa 100% | 31.9 MiB/s | 97.9 KiB | 00m00s [110/295] perl-Symbol-0:1.09-506.fc40.n 100% | 2.9 MiB/s | 14.6 KiB | 00m00s [111/295] perl-constant-0:1.33-503.fc40 100% | 7.4 MiB/s | 22.8 KiB | 00m00s [112/295] cpp-0:14.2.1-3.fc40.aarch64 100% | 261.1 MiB/s | 10.7 MiB | 00m00s [113/295] perl-Errno-0:1.37-506.fc40.aa 100% | 1.3 MiB/s | 15.4 KiB | 00m00s [114/295] perl-Scalar-List-Utils-5:1.63 100% | 5.8 MiB/s | 71.5 KiB | 00m00s [115/295] perl-DynaLoader-0:1.54-506.fc 100% | 12.9 MiB/s | 26.5 KiB | 00m00s [116/295] perl-vars-0:1.05-506.fc40.noa 100% | 6.6 MiB/s | 13.4 KiB | 00m00s [117/295] perl-Error-1:0.17029-15.fc40. 100% | 39.4 MiB/s | 40.4 KiB | 00m00s [118/295] libb2-0:0.98.1-11.fc40.aarch6 100% | 7.9 MiB/s | 24.3 KiB | 00m00s [119/295] perl-Encode-4:3.21-505.fc40.a 100% | 240.0 MiB/s | 1.7 MiB | 00m00s [120/295] mpdecimal-0:2.5.1-9.fc40.aarc 100% | 21.7 MiB/s | 88.8 KiB | 00m00s [121/295] gpgme-0:1.23.2-3.fc40.aarch64 100% | 51.5 MiB/s | 210.9 KiB | 00m00s [122/295] python3-dnf-0:4.21.1-1.fc40.n 100% | 151.5 MiB/s | 620.6 KiB | 00m00s [123/295] wget2-libs-0:2.1.0-11.fc40.aa 100% | 17.8 MiB/s | 145.4 KiB | 00m00s [124/295] libyaml-0:0.2.5-14.fc40.aarch 100% | 14.5 MiB/s | 59.6 KiB | 00m00s [125/295] brotli-devel-0:1.1.0-3.fc40.a 100% | 16.5 MiB/s | 33.8 KiB | 00m00s [126/295] bzip2-devel-0:1.0.8-18.fc40.a 100% | 69.5 MiB/s | 213.5 KiB | 00m00s [127/295] freetype-0:2.13.2-5.fc40.aarc 100% | 66.1 MiB/s | 406.1 KiB | 00m00s [128/295] libpng-devel-2:1.6.40-3.fc40. 100% | 56.9 MiB/s | 291.4 KiB | 00m00s [129/295] libXrender-0:0.9.11-6.fc40.aa 100% | 8.8 MiB/s | 27.0 KiB | 00m00s [130/295] perl-File-stat-0:1.13-506.fc4 100% | 4.3 MiB/s | 17.6 KiB | 00m00s [131/295] perl-SelectSaver-0:1.02-506.f 100% | 4.0 MiB/s | 12.2 KiB | 00m00s [132/295] python3-sortedcontainers-0:2. 100% | 2.6 MiB/s | 60.4 KiB | 00m00s [133/295] perl-locale-0:1.10-506.fc40.n 100% | 6.9 MiB/s | 14.1 KiB | 00m00s [134/295] perl-Getopt-Std-0:1.13-506.fc 100% | 7.9 MiB/s | 16.1 KiB | 00m00s [135/295] perl-MIME-Base64-0:3.16-503.f 100% | 29.2 MiB/s | 29.9 KiB | 00m00s [136/295] perl-Storable-1:3.32-502.fc40 100% | 47.6 MiB/s | 97.4 KiB | 00m00s [137/295] perl-parent-1:0.241-502.fc40. 100% | 14.4 MiB/s | 14.7 KiB | 00m00s [138/295] perl-overload-0:1.37-506.fc40 100% | 22.5 MiB/s | 46.0 KiB | 00m00s [139/295] libassuan-0:2.5.7-1.fc40.aarc 100% | 65.0 MiB/s | 66.6 KiB | 00m00s [140/295] python3-libcomps-0:0.1.20-5.f 100% | 15.8 MiB/s | 48.6 KiB | 00m00s [141/295] python3-rpm-0:4.19.1.1-1.fc40 100% | 13.4 MiB/s | 68.5 KiB | 00m00s [142/295] dnf-data-0:4.21.1-1.fc40.noar 100% | 9.6 MiB/s | 39.2 KiB | 00m00s [143/295] gnupg2-0:2.4.4-1.fc40.aarch64 100% | 222.6 MiB/s | 2.7 MiB | 00m00s [144/295] python3-hawkey-0:0.73.3-1.fc4 100% | 19.2 MiB/s | 98.5 KiB | 00m00s [145/295] python3-libdnf-0:0.73.3-1.fc4 100% | 132.6 MiB/s | 814.7 KiB | 00m00s [146/295] brotli-0:1.1.0-3.fc40.aarch64 100% | 6.4 MiB/s | 19.6 KiB | 00m00s [147/295] libpng-2:1.6.40-3.fc40.aarch6 100% | 28.3 MiB/s | 116.0 KiB | 00m00s [148/295] perl-Class-Struct-0:0.68-506. 100% | 11.0 MiB/s | 22.5 KiB | 00m00s [149/295] perl-mro-0:1.28-506.fc40.aarc 100% | 14.2 MiB/s | 29.0 KiB | 00m00s [150/295] perl-overloading-0:0.02-506.f 100% | 6.5 MiB/s | 13.3 KiB | 00m00s [151/295] libgcrypt-0:1.10.3-3.fc40.aar 100% | 111.0 MiB/s | 454.7 KiB | 00m00s [152/295] libksba-0:1.6.6-1.fc40.aarch6 100% | 19.3 MiB/s | 158.0 KiB | 00m00s [153/295] npth-0:1.7-1.fc40.aarch64 100% | 3.5 MiB/s | 25.1 KiB | 00m00s [154/295] libcomps-0:0.1.20-5.fc40.aarc 100% | 18.5 MiB/s | 75.8 KiB | 00m00s [155/295] ima-evm-utils-0:1.5-4.fc40.aa 100% | 30.8 MiB/s | 63.0 KiB | 00m00s [156/295] libdnf-0:0.73.3-1.fc40.aarch6 100% | 104.4 MiB/s | 641.3 KiB | 00m00s [157/295] rpm-sign-libs-0:4.19.1.1-1.fc 100% | 3.2 MiB/s | 26.4 KiB | 00m00s [158/295] libfsverity-0:1.4-12.fc40.aar 100% | 3.6 MiB/s | 18.5 KiB | 00m00s [159/295] json-c-0:0.17-3.fc40.aarch64 100% | 14.7 MiB/s | 45.3 KiB | 00m00s [160/295] librepo-0:1.18.1-1.fc40.aarch 100% | 47.7 MiB/s | 97.7 KiB | 00m00s [161/295] libxcrypt-devel-0:4.4.36-5.fc 100% | 14.0 MiB/s | 28.6 KiB | 00m00s [162/295] glibc-devel-0:2.39.9999-99.fc 100% | 129.5 MiB/s | 530.4 KiB | 00m00s [163/295] gcc-plugin-annobin-0:14.2.1-3 100% | 26.9 MiB/s | 55.1 KiB | 00m00s [164/295] pyproject-rpm-macros-0:1.15.0 100% | 42.4 MiB/s | 43.4 KiB | 00m00s [165/295] python-rpm-macros-0:3.12-8.fc 100% | 17.1 MiB/s | 17.5 KiB | 00m00s [166/295] python3-rpm-macros-0:3.12-8.f 100% | 12.0 MiB/s | 12.3 KiB | 00m00s [167/295] python3-rpm-generators-0:14-1 100% | 14.5 MiB/s | 29.6 KiB | 00m00s [168/295] cmake-rpm-macros-0:3.28.2-1.f 100% | 8.3 MiB/s | 17.0 KiB | 00m00s [169/295] python3-packaging-0:23.2-4.fc 100% | 40.8 MiB/s | 125.2 KiB | 00m00s [170/295] systemd-rpm-macros-0:255.12-1 100% | 29.2 MiB/s | 29.9 KiB | 00m00s [171/295] dbus-1:1.14.10-3.fc40.aarch64 100% | 7.8 MiB/s | 8.0 KiB | 00m00s [172/295] kmod-libs-0:31-5.fc40.aarch64 100% | 22.0 MiB/s | 67.7 KiB | 00m00s [173/295] systemd-pam-0:255.12-1.fc40.a 100% | 75.2 MiB/s | 385.2 KiB | 00m00s [174/295] zlib-ng-compat-devel-0:2.1.7- 100% | 5.3 MiB/s | 37.9 KiB | 00m00s [175/295] python3-crc-0:7.0.0-1.fc40.no 100% | 5.0 MiB/s | 25.6 KiB | 00m00s [176/295] systemd-0:255.12-1.fc40.aarch 100% | 178.5 MiB/s | 5.2 MiB | 00m00s [177/295] textx-python3-0:4.0.1-2024080 100% | 107.2 MiB/s | 1.7 MiB | 00m00s [178/295] python3-numpy-1:1.26.4-3.fc40 100% | 190.2 MiB/s | 6.7 MiB | 00m00s [179/295] gnutls-0:3.8.6-1.fc40.aarch64 100% | 88.9 MiB/s | 1.1 MiB | 00m00s [180/295] nettle-0:3.9.1-6.fc40.aarch64 100% | 141.7 MiB/s | 435.3 KiB | 00m00s [181/295] python3-arpeggio-0:2.0.2-3.fc 100% | 6.8 MiB/s | 153.2 KiB | 00m00s [182/295] gnutls-dane-0:3.8.6-1.fc40.aa 100% | 12.5 MiB/s | 38.4 KiB | 00m00s [183/295] libseccomp-0:2.5.5-1.fc40.aar 100% | 34.9 MiB/s | 71.5 KiB | 00m00s [184/295] flexiblas-openblas-openmp-0:3 100% | 8.1 MiB/s | 16.5 KiB | 00m00s [185/295] flexiblas-0:3.4.4-1.fc40.aarc 100% | 8.3 MiB/s | 25.4 KiB | 00m00s [186/295] openblas-0:0.3.26-4.fc40.aarc 100% | 7.5 MiB/s | 38.6 KiB | 00m00s [187/295] expat-0:2.6.3-1.fc40.aarch64 100% | 54.8 MiB/s | 112.2 KiB | 00m00s [188/295] tzdata-0:2024a-5.fc40.noarch 100% | 116.6 MiB/s | 716.1 KiB | 00m00s [189/295] openblas-openmp-0:0.3.26-4.fc 100% | 98.4 MiB/s | 3.8 MiB | 00m00s [190/295] glib2-0:2.80.3-1.fc40.aarch64 100% | 111.9 MiB/s | 3.0 MiB | 00m00s [191/295] flexiblas-netlib-0:3.4.4-1.fc 100% | 53.8 MiB/s | 2.7 MiB | 00m00s [192/295] libsolv-0:0.7.30-1.fc40.aarch 100% | 40.1 MiB/s | 411.0 KiB | 00m00s [193/295] libmodulemd-0:2.15.0-12.fc40. 100% | 29.4 MiB/s | 210.6 KiB | 00m00s [194/295] zchunk-libs-0:1.5.1-1.fc40.aa 100% | 10.1 MiB/s | 51.8 KiB | 00m00s [195/295] unbound-libs-0:1.20.0-1.fc40. 100% | 129.2 MiB/s | 529.2 KiB | 00m00s [196/295] protobuf-c-0:1.5.0-3.fc40.aar 100% | 6.3 MiB/s | 32.2 KiB | 00m00s [197/295] less-0:643-6.fc40.aarch64 100% | 57.8 MiB/s | 177.7 KiB | 00m00s [198/295] openssh-clients-0:9.6p1-1.fc4 100% | 146.3 MiB/s | 748.9 KiB | 00m00s [199/295] libfido2-0:1.14.0-4.fc40.aarc 100% | 23.4 MiB/s | 95.8 KiB | 00m00s [200/295] openssh-0:9.6p1-1.fc40.4.aarc 100% | 83.1 MiB/s | 425.5 KiB | 00m00s [201/295] perl-Getopt-Long-1:2.57-4.fc4 100% | 31.0 MiB/s | 63.4 KiB | 00m00s [202/295] libcbor-0:0.11.0-1.fc40.aarch 100% | 8.0 MiB/s | 32.7 KiB | 00m00s [203/295] perl-Text-ParseWords-0:3.31-5 100% | 8.0 MiB/s | 16.3 KiB | 00m00s [204/295] perl-base-0:2.27-506.fc40.noa 100% | 16.3 MiB/s | 16.6 KiB | 00m00s [205/295] annobin-docs-0:12.60-1.fc40.n 100% | 43.7 MiB/s | 89.5 KiB | 00m00s [206/295] annobin-plugin-gcc-0:12.60-1. 100% | 188.6 MiB/s | 965.5 KiB | 00m00s [207/295] libasan-0:14.2.1-3.fc40.aarch 100% | 96.9 MiB/s | 496.0 KiB | 00m00s [208/295] libatomic-0:14.2.1-3.fc40.aar 100% | 14.2 MiB/s | 43.7 KiB | 00m00s [209/295] libubsan-0:14.2.1-3.fc40.aarc 100% | 70.9 MiB/s | 217.8 KiB | 00m00s [210/295] libgfortran-0:14.2.1-3.fc40.a 100% | 89.7 MiB/s | 459.3 KiB | 00m00s [211/295] kernel-headers-0:6.10.3-200.f 100% | 174.5 MiB/s | 1.6 MiB | 00m00s [212/295] libX11-devel-0:1.8.10-2.fc40. 100% | 113.1 MiB/s | 1.0 MiB | 00m00s [213/295] libX11-xcb-0:1.8.10-2.fc40.aa 100% | 5.9 MiB/s | 12.0 KiB | 00m00s [214/295] libX11-0:1.8.10-2.fc40.aarch6 100% | 78.7 MiB/s | 644.4 KiB | 00m00s [215/295] libX11-common-0:1.8.10-2.fc40 100% | 57.2 MiB/s | 175.8 KiB | 00m00s [216/295] fontconfig-0:2.15.0-6.fc40.aa 100% | 89.5 MiB/s | 275.0 KiB | 00m00s [217/295] xml-common-0:0.6.3-63.fc40.no 100% | 15.1 MiB/s | 31.0 KiB | 00m00s [218/295] fonts-filesystem-1:2.0.5-14.f 100% | 2.7 MiB/s | 8.2 KiB | 00m00s [219/295] fontconfig-devel-0:2.15.0-6.f 100% | 80.4 MiB/s | 164.7 KiB | 00m00s [220/295] libxml2-devel-0:2.12.8-1.fc40 100% | 170.6 MiB/s | 524.1 KiB | 00m00s [221/295] xz-devel-1:5.4.6-3.fc40.aarch 100% | 16.4 MiB/s | 67.0 KiB | 00m00s [222/295] python-setuptools-wheel-0:69. 100% | 165.2 MiB/s | 676.7 KiB | 00m00s [223/295] emacs-filesystem-1:29.4-9.fc4 100% | 1.1 MiB/s | 9.2 KiB | 00m00s [224/295] perl-Socket-4:2.038-1.fc40.aa 100% | 27.4 MiB/s | 56.0 KiB | 00m00s [225/295] libusb1-0:1.0.27-2.fc40.aarch 100% | 24.7 MiB/s | 75.7 KiB | 00m00s [226/295] libgpg-error-0:1.49-1.fc40.aa 100% | 28.5 MiB/s | 233.6 KiB | 00m00s [227/295] tpm2-tss-0:4.1.3-1.fc40.aarch 100% | 99.1 MiB/s | 405.8 KiB | 00m00s [228/295] xorg-x11-proto-devel-0:2024.1 100% | 32.6 MiB/s | 300.1 KiB | 00m00s [229/295] harfbuzz-devel-0:8.5.0-1.fc40 100% | 62.3 MiB/s | 446.8 KiB | 00m00s [230/295] cairo-0:1.18.0-3.fc40.aarch64 100% | 85.6 MiB/s | 701.3 KiB | 00m00s [231/295] hwloc-libs-0:2.11.1-1.fc40.aa 100% | 57.6 MiB/s | 2.1 MiB | 00m00s [232/295] cairo-devel-0:1.18.0-3.fc40.a 100% | 31.4 MiB/s | 192.7 KiB | 00m00s [233/295] graphite2-devel-0:1.3.14-15.f 100% | 10.1 MiB/s | 20.6 KiB | 00m00s [234/295] glib2-devel-0:2.80.3-1.fc40.a 100% | 209.1 MiB/s | 1.5 MiB | 00m00s [235/295] harfbuzz-icu-0:8.5.0-1.fc40.a 100% | 5.3 MiB/s | 16.2 KiB | 00m00s [236/295] harfbuzz-0:8.5.0-1.fc40.aarch 100% | 170.9 MiB/s | 1.0 MiB | 00m00s [237/295] libXext-0:1.3.6-1.fc40.aarch6 100% | 12.6 MiB/s | 38.7 KiB | 00m00s [238/295] libXext-devel-0:1.3.6-1.fc40. 100% | 27.9 MiB/s | 85.7 KiB | 00m00s [239/295] libffi-devel-0:3.4.4-7.fc40.a 100% | 13.3 MiB/s | 27.3 KiB | 00m00s [240/295] pcre2-devel-0:10.44-1.fc40.aa 100% | 169.7 MiB/s | 521.3 KiB | 00m00s [241/295] libmount-devel-0:2.40.1-1.fc4 100% | 5.3 MiB/s | 27.0 KiB | 00m00s [242/295] libselinux-devel-0:3.7-5.fc40 100% | 24.6 MiB/s | 151.1 KiB | 00m00s [243/295] sysprof-capture-devel-0:46.0- 100% | 18.5 MiB/s | 56.8 KiB | 00m00s [244/295] graphite2-0:1.3.14-15.fc40.aa 100% | 30.0 MiB/s | 92.1 KiB | 00m00s [245/295] libblkid-devel-0:2.40.1-1.fc4 100% | 8.5 MiB/s | 26.1 KiB | 00m00s [246/295] libsepol-devel-0:3.7-2.fc40.a 100% | 15.6 MiB/s | 48.0 KiB | 00m00s [247/295] pcre2-utf16-0:10.44-1.fc40.aa 100% | 67.5 MiB/s | 207.4 KiB | 00m00s [248/295] pcre2-utf32-0:10.44-1.fc40.aa 100% | 63.5 MiB/s | 195.2 KiB | 00m00s [249/295] dbus-common-1:1.14.10-3.fc40. 100% | 7.2 MiB/s | 14.8 KiB | 00m00s [250/295] dbus-broker-0:36-2.fc40.aarch 100% | 41.0 MiB/s | 168.0 KiB | 00m00s [251/295] vim-filesystem-2:9.1.719-1.fc 100% | 5.5 MiB/s | 16.9 KiB | 00m00s [252/295] libuv-1:1.49.0-1.fc40.aarch64 100% | 62.4 MiB/s | 255.5 KiB | 00m00s [253/295] libXau-devel-0:1.0.11-6.fc40. 100% | 6.7 MiB/s | 13.6 KiB | 00m00s [254/295] libXau-0:1.0.11-6.fc40.aarch6 100% | 10.4 MiB/s | 32.1 KiB | 00m00s [255/295] libxcb-devel-0:1.17.0-1.fc40. 100% | 179.7 MiB/s | 1.4 MiB | 00m00s [256/295] libxcb-0:1.17.0-1.fc40.aarch6 100% | 34.5 MiB/s | 247.0 KiB | 00m00s [257/295] pixman-devel-0:0.43.4-1.fc40. 100% | 5.6 MiB/s | 17.2 KiB | 00m00s [258/295] pixman-0:0.43.4-1.fc40.aarch6 100% | 70.7 MiB/s | 217.3 KiB | 00m00s [259/295] libedit-0:3.1-53.20240808cvs. 100% | 35.0 MiB/s | 107.5 KiB | 00m00s [260/295] gettext-runtime-0:0.22.5-4.fc 100% | 39.4 MiB/s | 120.9 KiB | 00m00s [261/295] gettext-0:0.22.5-4.fc40.aarch 100% | 179.8 MiB/s | 1.1 MiB | 00m00s [262/295] libtextstyle-0:0.22.5-4.fc40. 100% | 14.3 MiB/s | 87.8 KiB | 00m00s [263/295] gettext-envsubst-0:0.22.5-4.f 100% | 12.0 MiB/s | 37.0 KiB | 00m00s [264/295] gettext-libs-0:0.22.5-4.fc40. 100% | 106.0 MiB/s | 651.4 KiB | 00m00s [265/295] default-fonts-core-sans-0:4.0 100% | 6.1 MiB/s | 31.5 KiB | 00m00s [266/295] abattis-cantarell-vf-fonts-0: 100% | 16.8 MiB/s | 120.3 KiB | 00m00s [267/295] google-noto-fonts-common-0:20 100% | 8.4 MiB/s | 17.3 KiB | 00m00s [268/295] perl-Pod-Usage-4:2.03-504.fc4 100% | 38.9 MiB/s | 39.8 KiB | 00m00s [269/295] perl-Pod-Perldoc-0:3.28.01-50 100% | 41.8 MiB/s | 85.6 KiB | 00m00s [270/295] google-noto-sans-vf-fonts-0:2 100% | 72.4 MiB/s | 593.3 KiB | 00m00s [271/295] perl-podlators-1:5.01-502.fc4 100% | 30.6 MiB/s | 125.5 KiB | 00m00s [272/295] groff-base-0:1.23.0-6.fc40.aa 100% | 138.6 MiB/s | 1.1 MiB | 00m00s [273/295] perl-File-Temp-1:0.231.100-50 100% | 9.6 MiB/s | 59.0 KiB | 00m00s [274/295] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 13.6 MiB/s | 55.6 KiB | 00m00s [275/295] perl-Pod-Simple-1:3.45-6.fc40 100% | 106.7 MiB/s | 218.5 KiB | 00m00s [276/295] perl-Term-ANSIColor-0:5.01-50 100% | 15.5 MiB/s | 47.6 KiB | 00m00s [277/295] perl-Term-Cap-0:1.18-503.fc40 100% | 7.1 MiB/s | 21.9 KiB | 00m00s [278/295] perl-File-Path-0:2.18-503.fc4 100% | 11.4 MiB/s | 35.0 KiB | 00m00s [279/295] perl-Mozilla-CA-0:20231213-3. 100% | 6.8 MiB/s | 13.9 KiB | 00m00s [280/295] perl-IO-Socket-SSL-0:2.085-1. 100% | 74.4 MiB/s | 228.6 KiB | 00m00s [281/295] perl-Net-SSLeay-0:1.94-3.fc40 100% | 122.1 MiB/s | 375.0 KiB | 00m00s [282/295] perl-Time-Local-2:1.350-5.fc4 100% | 11.2 MiB/s | 34.3 KiB | 00m00s [283/295] perl-Pod-Escapes-1:1.07-503.f 100% | 6.4 MiB/s | 19.6 KiB | 00m00s [284/295] perl-Text-Tabs+Wrap-0:2024.00 100% | 7.0 MiB/s | 21.6 KiB | 00m00s [285/295] perl-if-0:0.61.000-506.fc40.n 100% | 7.0 MiB/s | 14.4 KiB | 00m00s [286/295] ncurses-0:6.4-12.20240127.fc4 100% | 136.8 MiB/s | 420.2 KiB | 00m00s [287/295] perl-AutoLoader-0:5.74-506.fc 100% | 7.1 MiB/s | 21.7 KiB | 00m00s [288/295] perl-IO-Socket-IP-0:0.42-2.fc 100% | 10.2 MiB/s | 41.7 KiB | 00m00s [289/295] perl-URI-0:5.28-1.fc40.noarch 100% | 43.2 MiB/s | 132.8 KiB | 00m00s [290/295] perl-Data-Dumper-0:2.188-503. 100% | 26.8 MiB/s | 54.9 KiB | 00m00s [291/295] perl-libnet-0:3.15-503.fc40.n 100% | 62.7 MiB/s | 128.5 KiB | 00m00s [292/295] perl-B-0:1.88-506.fc40.aarch6 100% | 87.2 MiB/s | 178.5 KiB | 00m00s [293/295] perl-Digest-MD5-0:2.59-3.fc40 100% | 17.5 MiB/s | 35.8 KiB | 00m00s [294/295] perl-FileHandle-0:2.05-506.fc 100% | 7.8 MiB/s | 15.9 KiB | 00m00s [295/295] perl-Digest-0:1.20-502.fc40.n 100% | 24.1 MiB/s | 24.6 KiB | 00m00s -------------------------------------------------------------------------------- [295/295] Total 100% | 209.1 MiB/s | 256.0 MiB | 00m01s Running transaction [ 1/297] Verify package files 100% | 298.0 B/s | 295.0 B | 00m01s [ 2/297] Prepare transaction 100% | 880.0 B/s | 295.0 B | 00m00s [ 3/297] Installing boost-system-0:1.8 100% | 192.5 MiB/s | 197.1 KiB | 00m00s [ 4/297] Installing cmake-filesystem-0 100% | 3.5 MiB/s | 7.1 KiB | 00m00s [ 5/297] Installing boost-thread-0:1.8 100% | 259.1 MiB/s | 265.3 KiB | 00m00s [ 6/297] Installing zlib-ng-compat-dev 100% | 105.8 MiB/s | 108.3 KiB | 00m00s [ 7/297] Installing boost-chrono-0:1.8 100% | 193.8 MiB/s | 198.4 KiB | 00m00s [ 8/297] Installing expat-0:2.6.3-1.fc 100% | 264.4 MiB/s | 541.5 KiB | 00m00s [ 9/297] Installing libicu-0:74.2-1.fc 100% | 345.2 MiB/s | 35.9 MiB | 00m00s [ 10/297] Installing xorg-x11-proto-dev 100% | 178.3 MiB/s | 1.8 MiB | 00m00s [ 11/297] Installing libgpg-error-0:1.4 100% | 224.4 MiB/s | 1.1 MiB | 00m00s [ 12/297] Installing boost-regex-0:1.83 100% | 161.7 MiB/s | 331.2 KiB | 00m00s [ 13/297] Installing fonts-filesystem-1 100% | 0.0 B/s | 788.0 B | 00m00s [ 14/297] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 15/297] Installing libpng-2:1.6.40-3. 100% | 163.5 MiB/s | 334.9 KiB | 00m00s [ 16/297] Installing boost-context-0:1. 100% | 192.8 MiB/s | 197.4 KiB | 00m00s [ 17/297] Installing boost-atomic-0:1.8 100% | 193.2 MiB/s | 197.9 KiB | 00m00s [ 18/297] Installing boost-filesystem-0 100% | 257.7 MiB/s | 263.9 KiB | 00m00s [ 19/297] Installing libmpc-0:1.3.1-5.f 100% | 137.8 MiB/s | 282.2 KiB | 00m00s [ 20/297] Installing tcl-1:8.6.13-2.fc4 100% | 218.4 MiB/s | 4.6 MiB | 00m00s [ 21/297] Installing prjtrellis-data-0: 100% | 424.0 MiB/s | 81.4 MiB | 00m00s [ 22/297] Installing libpng-devel-2:1.6 100% | 294.2 MiB/s | 1.2 MiB | 00m00s [ 23/297] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 24/297] Installing libassuan-0:2.5.7- 100% | 91.7 MiB/s | 281.6 KiB | 00m00s [ 25/297] Installing libicu-devel-0:74. 100% | 207.7 MiB/s | 5.8 MiB | 00m00s [ 26/297] Installing libtextstyle-0:0.2 100% | 257.8 MiB/s | 264.0 KiB | 00m00s [ 27/297] Installing gettext-libs-0:0.2 100% | 290.4 MiB/s | 2.0 MiB | 00m00s [ 28/297] Installing pixman-0:0.43.4-1. 100% | 234.2 MiB/s | 719.4 KiB | 00m00s [ 29/297] Installing libXau-0:1.0.11-6. 100% | 238.6 MiB/s | 244.3 KiB | 00m00s [ 30/297] Installing libxcb-0:1.17.0-1. 100% | 458.3 MiB/s | 5.0 MiB | 00m00s [ 31/297] Installing graphite2-0:1.3.14 100% | 243.1 MiB/s | 497.9 KiB | 00m00s [ 32/297] Installing emacs-filesystem-1 100% | 0.0 B/s | 544.0 B | 00m00s [ 33/297] Installing libgfortran-0:14.2 100% | 380.4 MiB/s | 1.5 MiB | 00m00s [ 34/297] Installing zchunk-libs-0:1.5. 100% | 197.8 MiB/s | 202.5 KiB | 00m00s [ 35/297] Installing libsolv-0:0.7.30-1 100% | 257.3 MiB/s | 1.0 MiB | 00m00s [ 36/297] Installing nettle-0:3.9.1-6.f 100% | 311.4 MiB/s | 956.7 KiB | 00m00s [ 37/297] Installing gnutls-0:3.8.6-1.f 100% | 285.4 MiB/s | 3.4 MiB | 00m00s [ 38/297] Installing glib2-0:2.80.3-1.f 100% | 337.4 MiB/s | 16.5 MiB | 00m00s [ 39/297] Installing json-c-0:0.17-3.fc 100% | 8.6 MiB/s | 203.6 KiB | 00m00s >>> Running pre-install scriptlet: tpm2-tss-0:4.1.3-1.fc40.aarch64 >>> Stop pre-install scriptlet: tpm2-tss-0:4.1.3-1.fc40.aarch64 [ 40/297] Installing tpm2-tss-0:4.1.3-1 100% | 326.6 MiB/s | 3.6 MiB | 00m00s [ 41/297] Installing libyaml-0:0.2.5-14 100% | 257.7 MiB/s | 263.9 KiB | 00m00s [ 42/297] Installing libmodulemd-0:2.15 100% | 239.8 MiB/s | 982.2 KiB | 00m00s [ 43/297] Installing boost-date-time-0: 100% | 192.7 MiB/s | 197.3 KiB | 00m00s [ 44/297] Installing boost-container-0: 100% | 193.8 MiB/s | 198.4 KiB | 00m00s [ 45/297] Installing python-pip-wheel-0 100% | 506.6 MiB/s | 1.5 MiB | 00m00s [ 46/297] Installing tbb-0:2021.11.0-5. 100% | 141.8 MiB/s | 871.0 KiB | 00m00s [ 47/297] Installing prjxray-data-0:0.0 100% | 685.1 MiB/s | 406.3 MiB | 00m01s [ 48/297] Installing yaml-cpp-0:0.7.0-5 100% | 162.8 MiB/s | 333.4 KiB | 00m00s [ 49/297] Installing capnproto-libs-0:1 100% | 343.5 MiB/s | 5.5 MiB | 00m00s [ 50/297] Installing boost-program-opti 100% | 162.2 MiB/s | 332.1 KiB | 00m00s [ 51/297] Installing prjtrellis-0:1.4-2 100% | 227.9 MiB/s | 2.5 MiB | 00m00s [ 52/297] Installing boost-json-0:1.83. 100% | 191.9 MiB/s | 393.1 KiB | 00m00s [ 53/297] Installing boost-wave-0:1.83. 100% | 236.7 MiB/s | 727.1 KiB | 00m00s [ 54/297] Installing ima-evm-utils-0:1. 100% | 216.3 MiB/s | 443.0 KiB | 00m00s [ 55/297] Installing librepo-0:1.18.1-1 100% | 143.3 MiB/s | 293.4 KiB | 00m00s [ 56/297] Installing libdnf-0:0.73.3-1. 100% | 210.5 MiB/s | 2.1 MiB | 00m00s [ 57/297] Installing graphite2-devel-0: 100% | 49.4 MiB/s | 50.6 KiB | 00m00s [ 58/297] Installing libXau-devel-0:1.0 100% | 1.3 MiB/s | 8.2 KiB | 00m00s [ 59/297] Installing libxcb-devel-0:1.1 100% | 46.5 MiB/s | 3.1 MiB | 00m00s [ 60/297] Installing pixman-devel-0:0.4 100% | 49.0 MiB/s | 50.2 KiB | 00m00s [ 61/297] Installing pyproject-rpm-macr 100% | 108.4 MiB/s | 111.0 KiB | 00m00s [ 62/297] Installing tcl-devel-1:8.6.13 100% | 199.5 MiB/s | 817.1 KiB | 00m00s [ 63/297] Installing cpp-0:14.2.1-3.fc4 100% | 324.4 MiB/s | 31.8 MiB | 00m00s [ 64/297] Installing boost-fiber-0:1.83 100% | 194.5 MiB/s | 199.2 KiB | 00m00s [ 65/297] Installing boost-log-0:1.83.0 100% | 334.9 MiB/s | 1.7 MiB | 00m00s [ 66/297] Installing boost-coroutine-0: 100% | 193.5 MiB/s | 198.1 KiB | 00m00s [ 67/297] Installing abattis-cantarell- 100% | 94.9 MiB/s | 194.4 KiB | 00m00s [ 68/297] Installing boost-graph-0:1.83 100% | 225.7 MiB/s | 462.2 KiB | 00m00s [ 69/297] Installing libgcrypt-0:1.10.3 100% | 265.0 MiB/s | 1.1 MiB | 00m00s [ 70/297] Installing libksba-0:1.6.6-1. 100% | 257.5 MiB/s | 527.4 KiB | 00m00s [ 71/297] Installing boost-locale-0:1.8 100% | 214.9 MiB/s | 660.2 KiB | 00m00s [ 72/297] Installing libcomps-0:0.1.20- 100% | 279.8 MiB/s | 286.5 KiB | 00m00s [ 73/297] Installing boost-timer-0:1.83 100% | 193.4 MiB/s | 198.0 KiB | 00m00s [ 74/297] Installing boost-type_erasure 100% | 194.4 MiB/s | 199.0 KiB | 00m00s [ 75/297] Installing ncurses-0:6.4-12.2 100% | 129.4 MiB/s | 1.7 MiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 [ 76/297] Installing groff-base-0:1.23. 100% | 179.5 MiB/s | 5.4 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 [ 77/297] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 78/297] Installing perl-B-0:1.88-506. 100% | 197.8 MiB/s | 607.7 KiB | 00m00s [ 79/297] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 80/297] Installing perl-Digest-MD5-0: 100% | 228.2 MiB/s | 233.6 KiB | 00m00s [ 81/297] Installing perl-Data-Dumper-0 100% | 129.7 MiB/s | 265.5 KiB | 00m00s [ 82/297] Installing perl-libnet-0:3.15 100% | 143.7 MiB/s | 294.3 KiB | 00m00s [ 83/297] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 84/297] Installing perl-URI-0:5.28-1. 100% | 61.5 MiB/s | 251.8 KiB | 00m00s [ 85/297] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [ 86/297] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 87/297] Installing perl-Mozilla-CA-0: 100% | 9.9 MiB/s | 10.2 KiB | 00m00s [ 88/297] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [ 89/297] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 90/297] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.8 KiB | 00m00s [ 91/297] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 92/297] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.4 KiB | 00m00s [ 93/297] Installing perl-Net-SSLeay-0: 100% | 179.1 MiB/s | 1.4 MiB | 00m00s [ 94/297] Installing perl-IO-Socket-SSL 100% | 224.3 MiB/s | 689.0 KiB | 00m00s [ 95/297] Installing perl-POSIX-0:2.13- 100% | 159.3 MiB/s | 326.3 KiB | 00m00s [ 96/297] Installing perl-Class-Struct- 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 97/297] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 98/297] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [ 99/297] Installing perl-Term-Cap-0:1. 100% | 29.8 MiB/s | 30.5 KiB | 00m00s [100/297] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [101/297] Installing perl-Pod-Simple-1: 100% | 185.4 MiB/s | 569.4 KiB | 00m00s [102/297] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [103/297] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [104/297] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [105/297] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.2 KiB | 00m00s [106/297] Installing perl-Socket-4:2.03 100% | 133.8 MiB/s | 274.0 KiB | 00m00s [107/297] Installing perl-podlators-1:5 100% | 152.4 MiB/s | 312.1 KiB | 00m00s [108/297] Installing perl-Pod-Perldoc-0 100% | 82.3 MiB/s | 168.6 KiB | 00m00s [109/297] Installing perl-Fcntl-0:1.15- 100% | 197.0 MiB/s | 201.7 KiB | 00m00s [110/297] Installing perl-mro-0:1.28-50 100% | 205.8 MiB/s | 210.7 KiB | 00m00s [111/297] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [112/297] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.5 KiB | 00m00s [113/297] Installing perl-base-0:2.27-5 100% | 12.6 MiB/s | 12.9 KiB | 00m00s [114/297] Installing perl-IO-0:1.52-506 100% | 157.8 MiB/s | 323.3 KiB | 00m00s [115/297] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [116/297] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [117/297] Installing perl-Errno-0:1.37- 100% | 0.0 B/s | 8.8 KiB | 00m00s [118/297] Installing perl-Scalar-List-U 100% | 137.1 MiB/s | 280.7 KiB | 00m00s [119/297] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [120/297] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.6 KiB | 00m00s [121/297] Installing perl-MIME-Base64-0 100% | 109.5 MiB/s | 224.3 KiB | 00m00s [122/297] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [123/297] Installing perl-overload-0:1. 100% | 0.0 B/s | 71.9 KiB | 00m00s [124/297] Installing perl-Storable-1:3. 100% | 182.6 MiB/s | 373.9 KiB | 00m00s [125/297] Installing perl-Getopt-Long-1 100% | 71.7 MiB/s | 146.9 KiB | 00m00s [126/297] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [127/297] Installing perl-Carp-0:1.54-5 100% | 46.5 MiB/s | 47.7 KiB | 00m00s [128/297] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [129/297] Installing perl-PathTools-0:3 100% | 173.9 MiB/s | 356.1 KiB | 00m00s [130/297] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [131/297] Installing perl-Encode-4:3.21 100% | 363.5 MiB/s | 10.9 MiB | 00m00s [132/297] Installing perl-libs-4:5.38.2 100% | 218.4 MiB/s | 11.4 MiB | 00m00s [133/297] Installing perl-interpreter-4 100% | 294.3 MiB/s | 301.3 KiB | 00m00s [134/297] Installing prjoxide-0:0-20240 100% | 573.3 MiB/s | 23.5 MiB | 00m00s [135/297] Installing prjoxide-data-0:0- 100% | 530.5 MiB/s | 18.0 MiB | 00m00s [136/297] Installing perl-File-Find-0:1 100% | 41.4 MiB/s | 42.4 KiB | 00m00s [137/297] Installing perl-TermReadKey-0 100% | 116.3 MiB/s | 238.2 KiB | 00m00s [138/297] Installing perl-lib-0:0.65-50 100% | 0.0 B/s | 8.9 KiB | 00m00s [139/297] Installing perl-Error-1:0.170 100% | 78.5 MiB/s | 80.4 KiB | 00m00s [140/297] Installing google-noto-fonts- 100% | 0.0 B/s | 18.3 KiB | 00m00s [141/297] Installing google-noto-sans-v 100% | 249.8 MiB/s | 1.2 MiB | 00m00s [142/297] Installing default-fonts-core 100% | 8.9 MiB/s | 18.2 KiB | 00m00s [143/297] Installing gettext-envsubst-0 100% | 230.7 MiB/s | 236.2 KiB | 00m00s [144/297] Installing gettext-runtime-0: 100% | 130.6 MiB/s | 802.4 KiB | 00m00s [145/297] Installing gettext-0:0.22.5-4 100% | 373.3 MiB/s | 8.2 MiB | 00m00s [146/297] Installing libedit-0:3.1-53.2 100% | 168.8 MiB/s | 345.7 KiB | 00m00s [147/297] Installing libuv-1:1.49.0-1.f 100% | 215.5 MiB/s | 662.1 KiB | 00m00s [148/297] Installing vim-filesystem-2:9 100% | 4.6 MiB/s | 4.7 KiB | 00m00s [149/297] Installing dbus-common-1:1.14 100% | 467.3 KiB/s | 13.6 KiB | 00m00s >>> Running post-install scriptlet: dbus-common-1:1.14.10-3.fc40.noarch >>> Stop post-install scriptlet: dbus-common-1:1.14.10-3.fc40.noarch >>> Running pre-install scriptlet: dbus-broker-0:36-2.fc40.aarch64 >>> Stop pre-install scriptlet: dbus-broker-0:36-2.fc40.aarch64 [150/297] Installing dbus-broker-0:36-2 100% | 60.2 MiB/s | 616.6 KiB | 00m00s >>> Running post-install scriptlet: dbus-broker-0:36-2.fc40.aarch64 >>> Stop post-install scriptlet: dbus-broker-0:36-2.fc40.aarch64 [151/297] Installing dbus-1:1.14.10-3.f 100% | 0.0 B/s | 124.0 B | 00m00s [152/297] Installing pcre2-utf32-0:10.4 100% | 210.5 MiB/s | 646.5 KiB | 00m00s [153/297] Installing pcre2-utf16-0:10.4 100% | 210.5 MiB/s | 646.7 KiB | 00m00s [154/297] Installing pcre2-devel-0:10.4 100% | 199.5 MiB/s | 2.0 MiB | 00m00s [155/297] Installing libsepol-devel-0:3 100% | 41.6 MiB/s | 127.8 KiB | 00m00s [156/297] Installing libselinux-devel-0 100% | 22.5 MiB/s | 161.2 KiB | 00m00s [157/297] Installing libblkid-devel-0:2 100% | 44.9 MiB/s | 46.0 KiB | 00m00s [158/297] Installing libmount-devel-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [159/297] Installing sysprof-capture-de 100% | 273.2 MiB/s | 279.8 KiB | 00m00s [160/297] Installing libffi-devel-0:3.4 100% | 30.6 MiB/s | 31.4 KiB | 00m00s [161/297] Installing libusb1-0:1.0.27-2 100% | 238.1 MiB/s | 243.8 KiB | 00m00s [162/297] Installing python-setuptools- 100% | 731.6 MiB/s | 749.1 KiB | 00m00s [163/297] Installing hwloc-libs-0:2.11. 100% | 415.0 MiB/s | 2.9 MiB | 00m00s [164/297] Installing tbb-bind-0:2021.11 100% | 191.9 MiB/s | 196.5 KiB | 00m00s [165/297] Installing xz-devel-1:5.4.6-3 100% | 126.9 MiB/s | 259.9 KiB | 00m00s [166/297] Installing libxml2-devel-0:2. 100% | 179.8 MiB/s | 3.4 MiB | 00m00s >>> Running pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch >>> Stop pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch [167/297] Installing xml-common-0:0.6.3 100% | 26.4 MiB/s | 81.1 KiB | 00m00s [168/297] Installing libX11-common-0:1. 100% | 84.8 MiB/s | 1.2 MiB | 00m00s [169/297] Installing libX11-0:1.8.10-2. 100% | 134.3 MiB/s | 1.3 MiB | 00m00s [170/297] Installing libXrender-0:0.9.1 100% | 194.6 MiB/s | 199.3 KiB | 00m00s [171/297] Installing libXext-0:1.3.6-1. 100% | 206.2 MiB/s | 211.1 KiB | 00m00s [172/297] Installing cairo-0:1.18.0-3.f 100% | 246.2 MiB/s | 2.0 MiB | 00m00s [173/297] Installing harfbuzz-0:8.5.0-1 100% | 273.7 MiB/s | 3.0 MiB | 00m00s [174/297] Installing freetype-0:2.13.2- 100% | 230.6 MiB/s | 944.6 KiB | 00m00s [175/297] Installing fontconfig-0:2.15. 100% | 2.3 MiB/s | 2.4 MiB | 00m01s >>> Running post-install scriptlet: fontconfig-0:2.15.0-6.fc40.aarch64 >>> Stop post-install scriptlet: fontconfig-0:2.15.0-6.fc40.aarch64 [176/297] Installing libXft-0:2.3.8-6.f 100% | 31.5 MiB/s | 257.9 KiB | 00m00s >>> Running pre-install scriptlet: tk-1:8.6.13-3.fc40.aarch64 >>> Stop pre-install scriptlet: tk-1:8.6.13-3.fc40.aarch64 [177/297] Installing tk-1:8.6.13-3.fc40 100% | 143.6 MiB/s | 4.0 MiB | 00m00s [178/297] Installing pypy3.10-libs-0:7. 100% | 302.2 MiB/s | 91.9 MiB | 00m00s [179/297] Installing harfbuzz-icu-0:8.5 100% | 191.7 MiB/s | 196.3 KiB | 00m00s [180/297] Installing libX11-xcb-0:1.8.1 100% | 63.7 MiB/s | 195.8 KiB | 00m00s [181/297] Installing libX11-devel-0:1.8 100% | 46.7 MiB/s | 1.1 MiB | 00m00s [182/297] Installing libXrender-devel-0 100% | 49.8 MiB/s | 51.0 KiB | 00m00s [183/297] Installing libXext-devel-0:1. 100% | 21.7 MiB/s | 110.9 KiB | 00m00s [184/297] Installing kernel-headers-0:6 100% | 147.2 MiB/s | 6.3 MiB | 00m00s [185/297] Installing libxcrypt-devel-0: 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [186/297] Installing glibc-devel-0:2.39 100% | 118.0 MiB/s | 2.2 MiB | 00m00s [187/297] Installing libubsan-0:14.2.1- 100% | 263.7 MiB/s | 540.1 KiB | 00m00s [188/297] Installing libatomic-0:14.2.1 100% | 193.1 MiB/s | 197.8 KiB | 00m00s [189/297] Installing libasan-0:14.2.1-3 100% | 320.5 MiB/s | 1.6 MiB | 00m00s [190/297] Installing annobin-docs-0:12. 100% | 95.1 MiB/s | 97.4 KiB | 00m00s [191/297] Installing libcbor-0:0.11.0-1 100% | 198.5 MiB/s | 203.3 KiB | 00m00s [192/297] Installing libfido2-0:1.14.0- 100% | 167.7 MiB/s | 343.4 KiB | 00m00s [193/297] Installing openssh-0:9.6p1-1. 100% | 332.0 MiB/s | 2.0 MiB | 00m00s [194/297] Installing openssh-clients-0: 100% | 219.1 MiB/s | 3.5 MiB | 00m00s >>> Running post-install scriptlet: openssh-clients-0:9.6p1-1.fc40.4.aarch64 >>> Stop post-install scriptlet: openssh-clients-0:9.6p1-1.fc40.4.aarch64 [195/297] Installing less-0:643-6.fc40. 100% | 196.2 MiB/s | 803.6 KiB | 00m00s [196/297] Installing git-core-0:2.46.2- 100% | 341.6 MiB/s | 22.9 MiB | 00m00s [197/297] Installing git-core-doc-0:2.4 100% | 266.5 MiB/s | 17.3 MiB | 00m00s [198/297] Installing perl-Git-0:2.46.2- 100% | 63.5 MiB/s | 65.0 KiB | 00m00s [199/297] Installing git-0:2.46.2-1.fc4 100% | 85.4 MiB/s | 87.4 KiB | 00m00s [200/297] Installing protobuf-c-0:1.5.0 100% | 40.5 MiB/s | 207.5 KiB | 00m00s [201/297] Installing tzdata-0:2024a-5.f 100% | 38.8 MiB/s | 1.9 MiB | 00m00s [202/297] Installing openblas-0:0.3.26- 100% | 95.5 MiB/s | 97.8 KiB | 00m00s [203/297] Installing openblas-openmp-0: 100% | 432.4 MiB/s | 19.5 MiB | 00m00s [204/297] Installing flexiblas-0:3.4.4- 100% | 48.5 MiB/s | 49.7 KiB | 00m00s [205/297] Installing flexiblas-openblas 100% | 191.6 MiB/s | 196.2 KiB | 00m00s [206/297] Installing flexiblas-netlib-0 100% | 344.6 MiB/s | 9.6 MiB | 00m00s [207/297] Installing libseccomp-0:2.5.5 100% | 237.5 MiB/s | 243.2 KiB | 00m00s [208/297] Installing kmod-libs-0:31-5.f 100% | 281.5 MiB/s | 288.2 KiB | 00m00s [209/297] Installing systemd-pam-0:255. 100% | 193.8 MiB/s | 1.4 MiB | 00m00s [210/297] Installing systemd-0:255.12-1 100% | 130.9 MiB/s | 27.2 MiB | 00m00s >>> Running post-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop post-install scriptlet: systemd-0:255.12-1.fc40.aarch64 [211/297] Installing libftdi-0:1.5-12.f 100% | 218.0 MiB/s | 223.2 KiB | 00m00s [212/297] Installing libfsverity-0:1.4- 100% | 192.9 MiB/s | 197.5 KiB | 00m00s [213/297] Installing npth-0:1.7-1.fc40. 100% | 217.4 MiB/s | 222.6 KiB | 00m00s [214/297] Installing gnupg2-0:2.4.4-1.f 100% | 316.8 MiB/s | 12.4 MiB | 00m00s [215/297] Installing gpgme-0:1.23.2-3.f 100% | 264.7 MiB/s | 813.2 KiB | 00m00s [216/297] Installing rpm-sign-libs-0:4. 100% | 191.6 MiB/s | 196.2 KiB | 00m00s [217/297] Installing brotli-0:1.1.0-3.f 100% | 191.8 MiB/s | 196.4 KiB | 00m00s [218/297] Installing brotli-devel-0:1.1 100% | 66.4 MiB/s | 68.0 KiB | 00m00s [219/297] Installing dnf-data-0:4.21.1- 100% | 40.0 MiB/s | 40.9 KiB | 00m00s [220/297] Installing bzip2-devel-0:1.0. 100% | 303.5 MiB/s | 310.7 KiB | 00m00s [221/297] Installing mpdecimal-0:2.5.1- 100% | 322.1 MiB/s | 329.8 KiB | 00m00s [222/297] Installing libb2-0:0.98.1-11. 100% | 28.4 MiB/s | 203.2 KiB | 00m00s [223/297] Installing python3-libs-0:3.1 100% | 293.1 MiB/s | 51.9 MiB | 00m00s [224/297] Installing python3-0:3.12.6-1 100% | 208.2 MiB/s | 213.2 KiB | 00m00s [225/297] Installing boost-python3-0:1. 100% | 162.8 MiB/s | 333.5 KiB | 00m00s [226/297] Installing python3-libdnf-0:0 100% | 390.2 MiB/s | 4.7 MiB | 00m00s [227/297] Installing cmake-rpm-macros-0 100% | 7.9 MiB/s | 8.0 KiB | 00m00s [228/297] Installing python3-packaging- 100% | 60.3 MiB/s | 431.9 KiB | 00m00s [229/297] Installing python3-numpy-1:1. 100% | 309.6 MiB/s | 41.8 MiB | 00m00s [230/297] Installing boost-numpy3-0:1.8 100% | 193.7 MiB/s | 198.3 KiB | 00m00s [231/297] Installing python3-rpm-genera 100% | 40.5 MiB/s | 82.9 KiB | 00m00s [232/297] Installing glib2-devel-0:2.80 100% | 406.7 MiB/s | 16.7 MiB | 00m00s [233/297] Installing freetype-devel-0:2 100% | 341.6 MiB/s | 7.9 MiB | 00m00s [234/297] Installing fontconfig-devel-0 100% | 21.2 MiB/s | 151.9 KiB | 00m00s [235/297] Installing cairo-devel-0:1.18 100% | 327.3 MiB/s | 2.3 MiB | 00m00s [236/297] Installing harfbuzz-devel-0:8 100% | 361.6 MiB/s | 5.8 MiB | 00m00s [237/297] Installing libXft-devel-0:2.3 100% | 14.4 MiB/s | 44.3 KiB | 00m00s [238/297] Installing python3-hawkey-0:0 100% | 166.4 MiB/s | 511.2 KiB | 00m00s [239/297] Installing python3-pyyaml-0:6 100% | 170.3 MiB/s | 872.1 KiB | 00m00s [240/297] Installing python3-simplejson 100% | 124.4 MiB/s | 637.2 KiB | 00m00s [241/297] Installing python3-sortedcont 100% | 201.4 MiB/s | 412.5 KiB | 00m00s [242/297] Installing python3-intervaltr 100% | 127.0 MiB/s | 260.0 KiB | 00m00s [243/297] Installing python3-libcomps-0 100% | 213.1 MiB/s | 218.2 KiB | 00m00s [244/297] Installing python3-rpm-0:4.19 100% | 120.3 MiB/s | 246.4 KiB | 00m00s [245/297] Installing python3-dnf-0:4.21 100% | 177.2 MiB/s | 2.7 MiB | 00m00s [246/297] Installing dnf-0:4.21.1-1.fc4 100% | 101.1 MiB/s | 2.5 MiB | 00m00s >>> Running post-install scriptlet: dnf-0:4.21.1-1.fc40.noarch >>> Stop post-install scriptlet: dnf-0:4.21.1-1.fc40.noarch [247/297] Installing python3-crc-0:7.0. 100% | 25.9 MiB/s | 53.0 KiB | 00m00s [248/297] Installing python3-arpeggio-0 100% | 56.4 MiB/s | 577.4 KiB | 00m00s [249/297] Installing textx-python3-0:4. 100% | 167.6 MiB/s | 2.8 MiB | 00m00s [250/297] Installing fasm-python3-0:0.0 100% | 6.0 MiB/s | 134.3 KiB | 00m00s >>> Running pre-install scriptlet: unbound-libs-0:1.20.0-1.fc40.aarch64 >>> Stop pre-install scriptlet: unbound-libs-0:1.20.0-1.fc40.aarch64 [251/297] Installing unbound-libs-0:1.2 100% | 216.0 MiB/s | 1.5 MiB | 00m00s [252/297] Installing gnutls-dane-0:3.8. 100% | 193.8 MiB/s | 198.4 KiB | 00m00s [253/297] Installing wget2-libs-0:2.1.0 100% | 212.4 MiB/s | 434.9 KiB | 00m00s [254/297] Installing wget2-0:2.1.0-11.f 100% | 187.8 MiB/s | 961.7 KiB | 00m00s [255/297] Installing boost-test-0:1.83. 100% | 258.4 MiB/s | 793.7 KiB | 00m00s [256/297] Installing boost-stacktrace-0 100% | 287.9 MiB/s | 589.7 KiB | 00m00s [257/297] Installing boost-serializatio 100% | 322.7 MiB/s | 660.9 KiB | 00m00s [258/297] Installing boost-random-0:1.8 100% | 193.9 MiB/s | 198.5 KiB | 00m00s [259/297] Installing boost-nowide-0:1.8 100% | 193.2 MiB/s | 197.9 KiB | 00m00s [260/297] Installing boost-math-0:1.83. 100% | 367.6 MiB/s | 1.5 MiB | 00m00s [261/297] Installing boost-contract-0:1 100% | 195.0 MiB/s | 199.6 KiB | 00m00s [262/297] Installing gc-0:8.2.2-6.fc40. 100% | 208.2 MiB/s | 852.9 KiB | 00m00s [263/297] Installing guile30-0:3.0.7-12 100% | 367.2 MiB/s | 52.1 MiB | 00m00s [264/297] Installing make-1:4.4.1-6.fc4 100% | 205.6 MiB/s | 1.9 MiB | 00m00s [265/297] Installing gcc-0:14.2.1-3.fc4 100% | 351.3 MiB/s | 93.8 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:288-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:288-1.fc40.noarch [266/297] Installing libstdc++-devel-0: 100% | 267.3 MiB/s | 15.2 MiB | 00m00s [267/297] Installing gflags-0:2.2.2-14. 100% | 181.9 MiB/s | 558.8 KiB | 00m00s [268/297] Installing prjxray-python3-0: 100% | 453.3 MiB/s | 31.7 MiB | 00m00s [269/297] Installing prjxray-0:0.0.1-20 100% | 357.1 MiB/s | 1.4 MiB | 00m00s [270/297] Installing json11-0:1.0.0-10. 100% | 196.3 MiB/s | 201.0 KiB | 00m00s [271/297] Installing rhash-0:1.4.3-4.fc 100% | 192.0 MiB/s | 589.8 KiB | 00m00s [272/297] Installing jsoncpp-0:1.9.5-7. 100% | 36.6 MiB/s | 337.2 KiB | 00m00s [273/297] Installing cmake-data-0:3.28. 100% | 75.1 MiB/s | 8.5 MiB | 00m00s [274/297] Installing cmake-0:3.28.2-1.f 100% | 380.9 MiB/s | 28.6 MiB | 00m00s [275/297] Installing boost-iostreams-0: 100% | 194.5 MiB/s | 199.2 KiB | 00m00s [276/297] Installing boost-0:1.83.0-5.f 100% | 45.2 KiB/s | 1.7 KiB | 00m00s [277/297] Installing boost-devel-0:1.83 100% | 193.1 MiB/s | 145.8 MiB | 00m01s [278/297] Installing pybind11-devel-0:2 100% | 209.1 MiB/s | 856.4 KiB | 00m00s [279/297] Installing json11-devel-0:1.0 100% | 9.6 MiB/s | 9.8 KiB | 00m00s [280/297] Installing gcc-c++-0:14.2.1-3 100% | 315.7 MiB/s | 35.0 MiB | 00m00s [281/297] Installing gcc-plugin-annobin 100% | 12.1 MiB/s | 198.6 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:288-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:288-1.fc40.noarch [282/297] Installing annobin-plugin-gcc 100% | 54.6 MiB/s | 1.1 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:288-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:288-1.fc40.noarch [283/297] Installing wget2-wget-0:2.1.0 100% | 433.6 KiB/s | 444.0 B | 00m00s [284/297] Installing prjapicula-0:0.14- 100% | 354.0 MiB/s | 14.5 MiB | 00m00s [285/297] Installing yum-0:4.21.1-1.fc4 100% | 21.9 MiB/s | 22.4 KiB | 00m00s [286/297] Installing tk-devel-1:8.6.13- 100% | 112.3 MiB/s | 1.0 MiB | 00m00s [287/297] Installing python3-devel-0:3. 100% | 127.7 MiB/s | 1.3 MiB | 00m00s [288/297] Installing prjtrellis-devel-0 100% | 39.5 MiB/s | 81.0 KiB | 00m00s [289/297] Installing icestorm-0:0-20240 100% | 532.4 MiB/s | 111.8 MiB | 00m00s [290/297] Installing prjtrellis-python3 100% | 369.4 MiB/s | 3.3 MiB | 00m00s [291/297] Installing pypy3.10-0:7.3.15- 100% | 252.9 MiB/s | 259.0 KiB | 00m00s [292/297] Installing tbb-devel-0:2021.1 100% | 192.1 MiB/s | 1.3 MiB | 00m00s [293/297] Installing prjoxide-devel-0:0 100% | 16.5 MiB/s | 33.9 KiB | 00m00s [294/297] Installing capnproto-0:1.0.1- 100% | 240.5 MiB/s | 985.0 KiB | 00m00s [295/297] Installing capnproto-devel-0: 100% | 223.2 MiB/s | 2.2 MiB | 00m00s [296/297] Installing eigen3-devel-0:3.4 100% | 217.1 MiB/s | 8.5 MiB | 00m00s [297/297] Installing systemd-rpm-macros 100% | 25.1 KiB/s | 10.1 KiB | 00m00s >>> Running post-transaction scriptlet: fontconfig-0:2.15.0-6.fc40.aarch64 >>> Stop post-transaction scriptlet: fontconfig-0:2.15.0-6.fc40.aarch64 >>> Running trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9999-99.fc40.aarch64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Running trigger-install scriptlet: glib2-0:2.80.3-1.fc40.aarch64 >>> Stop trigger-install scriptlet: glib2-0:2.80.3-1.fc40.aarch64 >>> Running trigger-install scriptlet: glib2-0:2.80.3-1.fc40.aarch64 >>> Stop trigger-install scriptlet: glib2-0:2.80.3-1.fc40.aarch64 >>> Running trigger-install scriptlet: fontconfig-0:2.15.0-6.fc40.aarch64 >>> Stop trigger-install scriptlet: fontconfig-0:2.15.0-6.fc40.aarch64 >>> Running trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Running trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Running trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Running trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Running trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Running trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 >>> Stop trigger-install scriptlet: systemd-0:255.12-1.fc40.aarch64 Warning: skipped PGP checks for 18 package(s). Finish: build setup for nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Start: rpmbuild nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1612569600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.fA7yCy + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf nextpnr + /usr/bin/mkdir -p nextpnr + cd nextpnr + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/YosysHQ/nextpnr.git . Cloning into '.'... + git fetch --depth 1 origin 268b32c341587aba99b4144e7793b58890004c89 From https://github.com/YosysHQ/nextpnr * branch 268b32c341587aba99b4144e7793b58890004c89 -> FETCH_HEAD + git reset --hard 268b32c341587aba99b4144e7793b58890004c89 HEAD is now at 268b32c router2: additional heatmap data + git submodule update --init --depth 1 himbaechel/uarch/xilinx/meta Submodule 'himbaechel/uarch/xilinx/meta' (https://github.com/gatecat/nextpnr-xilinx-meta) registered for path 'himbaechel/uarch/xilinx/meta' Cloning into '/builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/meta'... Submodule path 'himbaechel/uarch/xilinx/meta': checked out '57de9216639b0670949664cfdc61b2679064eb7b' + git log --format=fuller commit 268b32c341587aba99b4144e7793b58890004c89 Author: Lofty AuthorDate: Wed May 22 22:56:51 2024 +0100 Commit: myrtle CommitDate: Wed Oct 2 16:29:55 2024 +0200 router2: additional heatmap data + cd /builddir/build/BUILD + /usr/bin/mkdir -p nextpnr + cd nextpnr + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -rf 3rdparty/json11 + rm -rf 3rdparty/pybind11 + rm -rf 3rdparty/googletest + rm -rf 3rdparty/abseil-cpp + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + sed -i /abseil-cpp/d CMakeLists.txt + sed -i 's|absl::flat_hash_set|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|absl::flat_hash_map|absl_raw_hash_set absl_hash absl_throw_delegate|g' CMakeLists.txt + sed -i 's|set(EXTRA_LIB_DEPS)|set(EXTRA_LIB_DEPS json11)|' CMakeLists.txt + sed -i '1i #include ' common/kernel/hashlib.h + sed -i -e 's|/lib/|/lib64/|g' ecp5/CMakeLists.txt + sed -i -e 's|share/icebox|share/icestorm|g' ice40/CMakeLists.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.c9zYsH + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON . -Wno-dev -DCMAKE_SKIP_RPATH=ON -DCMAKE_VERBOSE_MAKEFILE=OFF -DCMAKE_BUILD_TYPE=RelWithDebInfo -DPython3_EXECUTABLE=/usr/bin/python3 '-DARCH=generic;himbaechel;ice40;ecp5;nexus;gowin;machxo2' -DHIMBAECHEL_GOWIN_DEVICES=all '-DHIMBAECHEL_XILINX_DEVICES=xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020' -DHIMBAECHEL_PRJXRAY_DB=/usr/share/xray/database/ -DBUILD_GUI=OFF -DUSE_OPENMP=ON -DPRJOXIDE_PREFIX=/usr -DOXIDE_INSTALL_PREFIX=/usr -DTRELLIS_INSTALL_PREFIX=/usr -DICESTORM_INSTALL_PREFIX=/usr -DRAPIDWRIGHT_PATH=/usr/share/rapidwright -- The CXX compiler identification is GNU 14.2.1 -- The C compiler identification is GNU 14.2.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.6", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: himbaechel -- Configuring Himbaechel-Example uarch -- Enabled Himbaechel-Example devices: -- Configuring Himbaechel-Gowin uarch -- Found Python3: /usr/bin/python3 (found suitable version "3.12.6", minimum required is "3.5") found components: Interpreter -- Enabled Himbaechel-Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-4;GW2A-18;GW2A-18C -- Apycula install prefix: (using system Python) -- Configuring Xilinx uarch -- Enabled Himbaechel-Xilinx devices: xc7a100t;xc7a200t;xc7a50t;xc7k70t;xc7s50;xc7z010;xc7z020 -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Searching for pytrellis in: /usr/local/lib;/usr/lib;//lib;/usr/lib;/usr/lib;/usr/X11R6/lib;/usr/pkg/lib;/opt/lib;/usr/lib/X11 -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr/ecp5/chipdb -- Configuring architecture: nexus -- Enabled Nexus families: LIFCL -- prjoxide install prefix: /usr -- Using Nexus chipdb: /builddir/build/BUILD/nextpnr/nexus/chipdb -- Configuring architecture: gowin -- Enabled Gowin devices: GW1N-1;GW1NZ-1;GW1N-4;GW1N-9;GW1N-9C;GW1NS-2;GW1NS-4;GW2A-18 -- gowin_bba executable: /usr/bin/gowin_bba -- Using Gowin chipdb: /builddir/build/BUILD/nextpnr/gowin/chipdb -- Configuring architecture: machxo2 -- Enabled MachXO2/XO3 devices: 1200;6900 -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using MachXO2/XO3 chipdb: /builddir/build/BUILD/nextpnr/machxo2/chipdb -- Configuring done (3.0s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX PRJOXIDE_PREFIX RAPIDWRIGHT_PATH SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr + /usr/bin/cmake --build . -j4 --verbose Change Dir: '/builddir/build/BUILD/nextpnr' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j4 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr -B/builddir/build/BUILD/nextpnr --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr/CMakeFiles /builddir/build/BUILD/nextpnr//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/depend /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ice40 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ice40 /builddir/build/BUILD/nextpnr/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/bba /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/bba /builddir/build/BUILD/nextpnr/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-himbaechel.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build /usr/bin/gmake -f CMakeFiles/nextpnr-himbaechel.dir/build.make CMakeFiles/nextpnr-himbaechel.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 0%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [ 0%] Generating chipdb/chipdb-384.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new cd /builddir/build/BUILD/nextpnr/bba && /usr/bin/g++ -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr/bba/main.cc [ 0%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o [ 0%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 1%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 2%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 2%] Built target bbasm /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/example /builddir/build/BUILD/nextpnr/himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build.make himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' gmake[2]: Nothing to be done for 'himbaechel/uarch/example/CMakeFiles/chipdb-himbaechel-example.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 2%] Built target chipdb-himbaechel-example /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build.make himbaechel/uarch/gowin/CMakeFiles/chipdb-himbaechel-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-1.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-1 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba device GW1N-1: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306[ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new [ 2%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-1.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NZ-1.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NZ-1 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba device GW1NZ-1: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 220 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NZ-1.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-4.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-4 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba device GW1N-4: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 2%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-4.bin [ 2%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba [ 2%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc device GW1N-9: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306[ 3%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9.bin [ 4%] Generating ../../../share/himbaechel/gowin/chipdb-GW1N-9C.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1N-9C -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc device GW1N-9C: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.5379660129547119 item 0.5424119830131531 item 0.7602660059928894 item 0.7647119760513306 name b_f: item 0.5364840030670166 item 0.5416709780693054 item 0.786201000213623 item 0.8143589496612549 name c_f: item 0.4119960069656372 item 0.4460819959640503 item 0.5942819714546204 item 0.6091020107269287 name d_f: item 0.28528499603271484 item 0.2756519913673401 item 0.46312499046325684 item 0.4638659954071045 name a_ofx: item 0.610584020614624 item 0.608361005783081 item 0.8714159727096558 item 0.875120997428894 name b_ofx: item 0.6091019511222839 item 0.6076200008392334 item 0.8973509669303894 item 0.9247680306434631 name c_ofx: item 0.48461398482322693 item 0.5120309591293335 item 0.7054319977760315 item 0.7195110321044922 name d_ofx: item 0.35790297389030457 item 0.34160101413726807 item 0.5742749571800232 item 0.5742749571800232 name m0_ofx0: item 0.24971701204776764 item 0.24749399721622467 item 0.3497520089149475 item 0.32381701469421387 name m1_ofx1: item 0.20377500355243683 item 0.24601198732852936 item 0.2875080108642578 item 0.37198197841644287 name fx_ofx1: item 0.04890599846839905 item 0.06965399533510208 item 0.13115699589252472 item 0.1207830011844635 group alu: name a_f: item 0.5364840030670166 item 0.5201820135116577 item 0.7365540266036987 item 0.7284029722213745 name b_f: item 0.39865797758102417 item 0.3830970227718353 item 0.5394479632377625 item 0.5209230184555054 name d_f: item 0.38606101274490356 item 0.291953980922699 item 0.5194410085678101 item 0.3993989825248718 name a0_fco: item 0.4408950209617615 item 0.5201820135116577 item 0.7083959579467773 item 0.7098780274391174 name b0_fco: item 0.4994340240955353 item 0.5253689885139465 item 0.7669349908828735 item 0.7743449807167053 name d0_fco: item 0.2237819880247116 item 0.24823500216007233 item 0.40755000710487366 item 0.3341909945011139 name fci_fco: item 0.022970998659729958 item 0.02519400045275688 item 0.04001400247216225 item 0.04223699867725372 name fci_f0: item 0.3571619987487793 item 0.31566599011421204 item 0.3912479877471924 item 0.4171830117702484 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2908424735069275 item 0.2896074950695038 group dff: name di_clksetpos: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clksetneg: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_qpos: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name clk_qneg: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name lsr_q: item 0.6483749747276306 item 0.9262499809265137 item 1.2683448791503906 item 1.3782600164413452 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_dob: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clk_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clka_reseta_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_ocea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_cea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_wrea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_dia_set: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ada_set: item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 name clka_blksel_set: item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_dia_hold: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_hold: item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_oceb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_ceb_set: item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_dib_set: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_blkset_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_adb_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_ce_set: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_oce_set: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_di_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ce_hold: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_blksel_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_reset_set_syn: item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 name clk_reset_hold_syn: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clka_reseta_set_syn: item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 name clka_reseta_hold_syn: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 group fanout: name X0Fan: item 0.10127000510692596 item 0.13646748661994934 item 0.11979499459266663 item 0.15993249416351318 name X1Fan: item 0.03025749884545803 item 0.14572998881340027 item 0.08212749660015106 item 0.23465000092983246 name SX1Fan: item 0.007409999612718821 item 0.03149249777197838 item 0.054957497864961624 item 0.07162999361753464 name X2Fan: item 0.0889199897646904 item 0.0876849964261055 item 0.10867999494075775 item 0.1080624982714653 name X8Fan: item 0.04384249821305275 item 0.06792499870061874 item 0.05310500040650368 item 0.09509499371051788 name FFan: item 0.03643249720335007 item 0.10065249353647232 item 0.0444599948823452 item 0.1259699910879135 name QFan: item 0.0209950003772974 item 0.05742749944329262 item 0.027787500992417336 item 0.07286499440670013 name OFFan: item 0.03149249777197838 item 0.06421999633312225 item 0.04631249979138374 item 0.07842249423265457 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_PCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.05261100083589554 item 0.0622439980506897 item 0.0674309954047203 item 0.07558199763298035 name CIB_CENT_SCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_SCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_SCLK: item 0.5320379734039307 item 0.5312970280647278 item 0.7180289626121521 item 0.7291439771652222 name SPINE_TAP_SCLK_0: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name SPINE_TAP_SCLK_1: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name TAP_BRANCH_SCLK: item 0.05112899839878082 item 0.05483400076627731 item 0.06965399533510208 item 0.07558199763298035 name BRANCH_SCLK: item 0.04223699867725372 item 0.041496001183986664 item 0.059279996901750565 item 0.058539003133773804 name GSRREC_SET: item 0.040754999965429306 item 0.040754999965429306 item 0.04890599846839905 item 0.04890599846839905 name GSRREC_HLD: item 0.037050001323223114 item 0.037050001323223114 item 0.044460002332925797 item 0.044460002332925797 name GSR_MPW: item 2.6920528411865234 item 2.695758104324341 item 3.230463743209839 item 3.234909772872925 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.07132124900817871 item 0.08150999248027802 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.11207624524831772 item 0.12226499617099762 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.15283124148845673 item 0.16301998496055603 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.19358624517917633 item 0.20377500355243683 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.23434126377105713 item 0.24452999234199524 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.27509623765945435 item 0.28528499603271484 item 0.23156249523162842 group wire: name X0: item 0.16968899965286255 item 0.18006299436092377 item 0.24230699241161346 item 0.2378610074520111 name FX1: item 0.22526399791240692 item 0.16376100480556488 item 0.3060329854488373 item 0.22452300786972046 name X2: item 0.19265998899936676 item 0.26009100675582886 item 0.26898300647735596 item 0.355679988861084 name X8: item 0.20747999846935272 item 0.30825600028038025 item 0.305292010307312 item 0.4764629900455475 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.6172530055046082 item 0.6498569846153259 item 0.6172530055046082 item 0.6498569846153259 name b_f: item 0.6091020107269287 item 0.6468930244445801 item 0.6091020107269287 item 0.6468930244445801 name c_f: item 0.5098080039024353 item 0.5046209692955017 item 0.5098080039024353 item 0.5046209692955017 name d_f: item 0.3927299678325653 item 0.32752200961112976 item 0.3927299678325653 item 0.32752200961112976 name a_ofx: item 0.701727032661438 item 0.7358129620552063 item 0.701727032661438 item 0.7358129620552063 name b_ofx: item 0.6935760378837585 item 0.7328490018844604 item 0.6935760378837585 item 0.7328490018844604 name c_ofx: item 0.5942820310592651 item 0.5905770063400269 item 0.5942820310592651 item 0.5905770063400269 name d_ofx: item 0.47720399498939514 item 0.41347798705101013 item 0.47720399498939514 item 0.41347798705101013 name m0_ofx0: item 0.30306899547576904 item 0.2786159813404083 item 0.30306899547576904 item 0.2786159813404083 name m1_ofx1: item 0.2400839924812317 item 0.3001050055027008 item 0.2400839924812317 item 0.5224049687385559 name fx_ofx1: item 0.0711359977722168 item 0.07780499756336212 item 0.0711359977722168 item 0.07780499756336212 group alu: name a_f: item 0.6216989755630493 item 0.6454110145568848 item 0.6216989755630493 item 0.6454110145568848 name b_f: item 0.4512690305709839 item 0.46238401532173157 item 0.4512690305709839 item 0.46238401532173157 name d_f: item 0.43793100118637085 item 0.3467879891395569 item 0.43793100118637085 item 0.3467879891395569 name a0_fco: item 0.5564910173416138 item 0.6165120005607605 item 0.5564910173416138 item 0.6165120005607605 name b0_fco: item 0.6142889857292175 item 0.6891300082206726 item 0.6142889857292175 item 0.6891300082206726 name d0_fco: item 0.3060329854488373 item 0.2964000105857849 item 0.3060329854488373 item 0.2964000105857849 name fci_fco: item 0.032604001462459564 item 0.028899000957608223 item 0.032604001462459564 item 0.028899000957608223 name fci_f0: item 0.37049999833106995 item 0.33344998955726624 item 0.37049999833106995 item 0.33344998955726624 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2439124882221222 item 0.23835499584674835 group dff: name di_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clksetneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name di_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_qpos: item 0.18463249504566193 item 0.34641748666763306 item 0.18463249504566193 item 0.34641748666763306 name clk_qneg: item 0.19265998899936676 item 0.3575325012207031 item 0.19265998899936676 item 0.3575325012207031 name lsr_q: item 0.8947575092315674 item 1.1738674640655518 item 0.8947575092315674 item 1.1738674640655518 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 name clkb_dob: item 0.1309099942445755 item 0.14264249801635742 item 0.1309099942445755 item 0.14264249801635742 name clkb_do: item 0.21550749242305756 item 0.16363748908042908 item 0.21550749242305756 item 0.16363748908042908 name clk_do: item 0.17722249031066895 item 0.16301998496055603 item 0.17722249031066895 item 0.16301998496055603 name clka_reseta_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_ocea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_cea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_wrea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_dia_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ada_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_dia_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_ceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_dib_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_blkset_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_adb_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_oce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 group fanout: name X0Fan: item 0.15190500020980835 item 0.204701229929924 item 0.15190500020980835 item 0.204701229929924 name X1Fan: item 0.04538624733686447 item 0.2185949832201004 item 0.04538624733686447 item 0.2185949832201004 name SX1Fan: item 0.0111149987205863 item 0.04723874852061272 item 0.0111149987205863 item 0.04723874852061272 name X2Fan: item 0.1333799958229065 item 0.13152749836444855 item 0.1333799958229065 item 0.13152749836444855 name X8Fan: item 0.06576374918222427 item 0.10188749432563782 item 0.06576374918222427 item 0.10188749432563782 name FFan: item 0.0546487458050251 item 0.15097874402999878 item 0.0546487458050251 item 0.15097874402999878 name QFan: item 0.03149250149726868 item 0.08614125102758408 item 0.03149250149726868 item 0.08614125102758408 name OFFan: item 0.04723874852061272 item 0.09632999449968338 item 0.04723874852061272 item 0.09632999449968338 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13577944040298462 item 0.13853171467781067 item 0.13577944040298462 item 0.13853171467781067 name PIO_CENT_PCLK: item 0.13853171467781067[ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.06513743102550507 item 0.07706399261951447 item 0.06513743102550507 item 0.07706399261951447 name CIB_CENT_SCLK: item 0.1403665691614151 item 0.15504543483257294 item 0.1403665691614151 item 0.15504543483257294 name PIO_CENT_SCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_SCLK: item 0.13623812794685364 item 0.14770600199699402 item 0.13623812794685364 item 0.14770600199699402 name SPINE_TAP_SCLK_0: item 0.10871528834104538 item 0.10779786109924316 item 0.10871528834104538 item 0.10779786109924316 name SPINE_TAP_SCLK_1: item 0.14908212423324585 item 0.12614642083644867 item 0.14908212423324585 item 0.12614642083644867 name TAP_BRANCH_SCLK: item 0.14816471934318542 item 0.14403629302978516 item 0.14816471934318542 item 0.14403629302978516 name BRANCH_SCLK: item 0.07752271741628647 item 0.08302728831768036 item 0.07752271741628647 item 0.08302728831768036 name GSRREC_SET: item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 name GSRREC_HLD: item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 name GSR_MPW: item 3.3330180644989014 item 3.3376049995422363 item 3.3330180644989014 item 3.3376049995422363 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.04631249979138374 item 0.05557499825954437 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.08336249738931656 item 0.09262499958276749 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.12041249871253967 item 0.1296750009059906 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.1574624925851822 item 0.16672499477863312 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.1945124864578247 item 0.20377498865127563 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.23156249523162842 item 0.24082499742507935 item 0.23156249523162842 group wire: name X0: item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 name FX1: item 0.2823210060596466 item 0.19265998899936676 item 0.2823210060596466 item 0.19265998899936676 name X2: item 0.22822800278663635 item 0.3075149953365326 item 0.22822800278663635 item 0.3075149953365326 name X8: item 0.27268800139427185 item 0.40088099241256714 item 0.27268800139427185 item 0.40088099241256714 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 1363 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1N-9C.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW1NS-4.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW1NS-4 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc device GW1NS-4: speed C5/I4: group lut: name a_f: item 0.9075000286102295 item 0.9149999618530273 item 1.2825000286102295 item 1.2899999618530273 name b_f: item 0.9049999713897705 item 0.9137499928474426 item 1.3262499570846558 item 1.3737499713897705 name c_f: item 0.6949999928474426 item 0.7524999976158142 item 1.0024999380111694 item 1.027500033378601 name d_f: item 0.48124998807907104 item 0.4650000035762787 item 0.78125 item 0.7824999690055847 name a_ofx: item 1.0299999713897705 item 1.0262500047683716 item 1.4700000286102295 item 1.4762499332427979 name b_ofx: item 1.0274999141693115 item 1.024999976158142 item 1.5137499570846558 item 1.5600000619888306 name c_ofx: item 0.8174999952316284 item 0.8637499809265137 item 1.190000057220459 item 1.2137500047683716 name d_ofx: item 0.6037499904632568 item 0.5762500166893005 item 0.96875 item 0.96875 name m0_ofx0: item 0.42125001549720764 item 0.41749998927116394 item 0.5900000333786011 item 0.5462499856948853 name m1_ofx1: item 0.34375 item 0.41499999165534973 item 0.48500001430511475 item 0.6274999380111694 name fx_ofx1: item 0.08249999582767487 item 0.11749999225139618 item 0.2212499976158142 item 0.20374999940395355 group alu: name a_f: item 0.9049999713897705 item 0.877500057220459 item 1.2425000667572021 item 1.2287499904632568 name b_f: item 0.6725000143051147 item 0.6462500095367432 item 0.9099999666213989 item 0.8787500262260437 name d_f: item 0.6512500047683716 item 0.4925000071525574 item 0.8762499690055847 item 0.6737499833106995 name a0_fco: item 0.7437500357627869 item 0.877500057220459 item 1.1949999332427979 item 1.1974999904632568 name b0_fco: item 0.8425000309944153 item 0.8862500190734863 item 1.2937499284744263 item 1.306249976158142 name d0_fco: item 0.3774999976158142 item 0.41875001788139343 item 0.6875 item 0.5637500286102295 name fci_fco: item 0.038750000298023224 item 0.042500004172325134 item 0.06750000268220901 item 0.07124999910593033 name fci_f0: item 0.6024999618530273 item 0.5325000286102295 item 0.6599999666213989 item 0.7037500143051147 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.35104167461395264 item 0.3239583373069763 name clk_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad0_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad1_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad2_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wad3_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.49062496423721313 item 0.4885416626930237 group dff: name di_clksetpos: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clksetneg: item 0.3125 item 0.3125 item 0.4999999701976776 item 0.4999999701976776 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clksteneg: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_qpos: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name clk_qneg: item 0.4166666269302368 item 0.4166666269302368 item 0.5729166269302368 item 0.5729166269302368 name lsr_q: item 1.0937498807907104 item 1.5625 item 2.139583110809326 item 2.325000047683716 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_dob: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clkb_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clk_do: item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 item 1.033750057220459 name clka_reseta_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_ocea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_cea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_wrea_set: item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 item 4.324999809265137 name clka_dia_set: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ada_set: item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 item 0.2175000011920929 name clka_blksel_set: item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 item 0.11124999821186066 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_cea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clka_dia_hold: item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 item 0.4387500286102295 name clka_di_hold: item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 item 0.16374999284744263 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_oceb_set: item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 item 0.10750000178813934 name clkb_ceb_set: item 0.09375 item 0.09375 item 0.09375 item 0.09375 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_dib_set: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clkb_adb_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_blkset_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_adb_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_ce_set: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_oce_set: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_wre_set: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_di_set: item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 item 0.23375001549720764 name clk_blksel_set: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ce_hold: item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 item 0.2562499940395355 name clk_oce_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_reset_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_wre_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_ad_hold: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clk_blksel_hold: item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 item 0.1862500011920929 name clk_reset_set_syn: item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 item 0.027499999850988388 name clk_reset_hold_syn: item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 item 0.05874999612569809 name clka_reseta_set_syn: item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 item 0.19249999523162842 name clka_reseta_hold_syn: item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 item 0.19999998807907104 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.05416666716337204 item 0.05416666716337204 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.01874999888241291 item 0.01874999888241291 group fanout: name X0Fan: item 0.17083333432674408 item 0.23020832240581512 item 0.20208331942558289 item 0.2697916626930237 name X1Fan: item 0.05104166269302368 item 0.24583332240581512 item 0.13854166865348816 item 0.3958333134651184 name SX1Fan: item 0.01249999925494194 item 0.05312499403953552 item 0.09270832687616348 item 0.12083332240581512 name X2Fan: item 0.14999999105930328 item 0.14791665971279144 item 0.18333332240581512 item 0.1822916567325592 name X8Fan: item 0.07395832985639572 item 0.1145833283662796 item 0.08958332985639572 item 0.16041666269302368 name FFan: item 0.06145833060145378 item 0.16979165375232697 item 0.07499999552965164 item 0.2124999761581421 name QFan: item 0.03541666641831398 item 0.09687499701976776 item 0.046875 item 0.12291666120290756 name OFFan: item 0.05312499403953552 item 0.10833333432674408 item 0.078125 item 0.13229165971279144 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_PCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.08875000476837158 item 0.10499999672174454 item 0.11374999582767487 item 0.1274999976158142 name CIB_CENT_SCLK: item 0.20625001192092896 item 0.1862500011920929 item 0.26750001311302185 item 0.2524999976158142 name PIO_CENT_SCLK: item 0.20374999940395355 item 0.18124999105930328 item 0.26249998807907104 item 0.2462500035762787 name CENT_SPINE_SCLK: item 0.8974999785423279 item 0.8962500095367432 item 1.2112499475479126 item 1.2300000190734863 name SPINE_TAP_SCLK_0: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name SPINE_TAP_SCLK_1: item 0.36500000953674316 item 0.3700000047683716 item 0.5074999928474426 item 0.5174999833106995 name TAP_BRANCH_SCLK: item 0.08624999970197678 item 0.0925000011920929 item 0.11749999225139618 item 0.1274999976158142 name BRANCH_SCLK: item 0.07124999910593033 item 0.07000000029802322 item 0.09999999403953552 item 0.09875000268220901 name GSRREC_SET: item 0.06875000149011612 item 0.06875000149011612 item 0.08249999582767487 item 0.08249999582767487 name GSRREC_HLD: item 0.0625 item 0.0625 item 0.07500000298023224 item 0.07500000298023224 name GSR_MPW: item 4.541249752044678 item 4.547500133514404 item 5.44950008392334 item 5.457000255584717 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.12031249701976776 item 0.13749998807907104 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.18906249105930328 item 0.20624998211860657 item 0.140625 name VALUE_DO: item 0.15625 item 0.2578125 item 0.2749999761581421 item 0.203125 name SDTAP_DF: item 0.21875 item 0.3265624940395355 item 0.34375 item 0.265625 name SETN_DF: item 0.28125 item 0.3953125476837158 item 0.41249996423721313 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.46406251192092896 item 0.48124998807907104 item 0.390625 group wire: name X0: item 0.2862499952316284 item 0.30375000834465027 item 0.4087499976158142 item 0.4012500047683716 name FX1: item 0.3799999952316284 item 0.2762500047683716 item 0.5162500143051147 item 0.3787499964237213 name X2: item 0.32499998807907104 item 0.4387500286102295 item 0.45375001430511475 item 0.5999999642372131 name X8: item 0.3499999940395355 item 0.5199999809265137 item 0.5149999856948853 item 0.8037500381469727 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C5/I4_LV: group lut: name a_f: item 1.0412499904632568 item 1.0962499380111694 item 1.0412499904632568 item 1.0962499380111694 name b_f: item 1.027500033378601 item 1.0912500619888306 item 1.027500033378601 item 1.0912500619888306 name c_f: item 0.8600000143051147 item 0.8512499928474426 item 0.8600000143051147 item 0.8512499928474426 name d_f: item 0.6624999642372131 item 0.5525000095367432 item 0.6624999642372131 item 0.5525000095367432 name a_ofx: item 1.183750033378601 item 1.241249918937683 item 1.183750033378601 item 1.241249918937683 name b_ofx: item 1.1700000762939453 item 1.2362500429153442 item 1.1700000762939453 item 1.2362500429153442 name c_ofx: item 1.002500057220459 item 0.9962499737739563 item 1.002500057220459 item 0.9962499737739563 name d_ofx: item 0.8050000071525574 item 0.6974999904632568 item 0.8050000071525574 item 0.6974999904632568 name m0_ofx0: item 0.5112500190734863 item 0.4699999690055847 item 0.5112500190734863 item 0.4699999690055847 name m1_ofx1: item 0.4050000011920929 item 0.5062500238418579 item 0.4050000011920929 item 0.8812499642372131 name fx_ofx1: item 0.12000000476837158 item 0.13124999403953552 item 0.12000000476837158 item 0.13124999403953552 group alu: name a_f: item 1.0487499237060547 item 1.0887500047683716 item 1.0487499237060547 item 1.0887500047683716 name b_f: item 0.7612500190734863 item 0.7800000309944153 item 0.7612500190734863 item 0.7800000309944153 name d_f: item 0.7387500405311584 item 0.5849999785423279 item 0.7387500405311584 item 0.5849999785423279 name a0_fco: item 0.9387499690055847 item 1.0399999618530273 item 0.9387499690055847 item 1.0399999618530273 name b0_fco: item 1.0362499952316284 item 1.162500023841858 item 1.0362499952316284 item 1.162500023841858 name d0_fco: item 0.5162500143051147 item 0.5 item 0.5162500143051147 item 0.5 name fci_fco: item 0.054999999701976776 item 0.048750001937150955 item 0.054999999701976776 item 0.048750001937150955 name fci_f0: item 0.625 item 0.5625 item 0.625 item 0.5625 group sram: name rad0_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad1_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad2_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name rad3_do: item 0.21666666865348816 item 0.2343749850988388 item 0.21666666865348816 item 0.2343749850988388 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad0_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad0_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad1_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad1_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad2_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad2_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wad3_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wad3_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_do: item 0.4114583134651184 item 0.4020833373069763 item 0.4114583134651184 item 0.4020833373069763 group dff: name di_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clksetneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name di_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name di_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clksetpos: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clksteneg: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name ce_clkholdpos: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name ce_clkholdneg: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clksetpos_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_qpos: item 0.3114583194255829 item 0.5843749642372131 item 0.3114583194255829 item 0.5843749642372131 name clk_qneg: item 0.32499998807907104 item 0.6031249761581421 item 0.32499998807907104 item 0.6031249761581421 name lsr_q: item 1.509374976158142 item 1.9802082777023315 item 1.509374976158142 item 1.9802082777023315 name lsr_clksetpos_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clksetneg_asyn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name lsr_clkholdpos_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name lsr_clkholdneg_asyn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_clk: item 1.5625 item 1.5625 item 1.5625 item 1.5625 name lsr_lsr: item 3.125 item 3.125 item 3.125 item 3.125 group bram: name clka_doa: item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 item 0.20520833134651184 name clkb_dob: item 0.22083333134651184 item 0.24062499403953552 item 0.22083333134651184 item 0.24062499403953552 name clkb_do: item 0.3635416626930237 item 0.2760416567325592 item 0.3635416626930237 item 0.2760416567325592 name clk_do: item 0.29895833134651184 item 0.2749999761581421 item 0.29895833134651184 item 0.2749999761581421 name clka_reseta_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_ocea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_cea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_wrea_set: item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 item 0.8587499856948853 name clka_dia_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ada_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_reseta_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_ocea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_cea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_wrea_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clka_dia_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_ada_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_blkset_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_ceb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_oce_setclkb_wreb_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_dib_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_adb_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_blkset_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_resetb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_ceb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_wreb_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clkb_dib_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_adb_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_oce_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_wre_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_ad_set: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_di_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_blksel_set: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_oce_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_reset_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_wre_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_ad_hold: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_di_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_blksel_hold: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clk_reset_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clka_reseta_hold_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_set_syn: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 name clkb_resetb_hold_syn: item 0.046875 item 0.046875 item 0.046875 item 0.046875 name clk_clk: item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 item 0.015624999068677425 group fanout: name X0Fan: item 0.2562499940395355 item 0.3453124761581421 item 0.2562499940395355 item 0.3453124761581421 name X1Fan: item 0.07656249403953552 item 0.3687499761581421 item 0.07656249403953552 item 0.3687499761581421 name SX1Fan: item 0.01874999888241291 item 0.07968749850988388 item 0.01874999888241291 item 0.07968749850988388 name X2Fan: item 0.22499999403953552 item 0.22187499701976776 item 0.22499999403953552 item 0.22187499701976776 name X8Fan: item 0.11093749850988388 item 0.1718749850988388 item 0.11093749850988388 item 0.1718749850988388 name FFan: item 0.09218749403953552 item 0.25468748807907104 item 0.09218749403953552 item 0.25468748807907104 name QFan: item 0.05312500149011612 item 0.14531250298023224 item 0.05312500149011612 item 0.14531250298023224 name OFFan: item 0.07968749850988388 item 0.16249999403953552 item 0.07968749850988388 item 0.16249999403953552 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.22904762625694275 item 0.23369047045707703 item 0.22904762625694275 item 0.23369047045707703 name PIO_CENT_PCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.1098809540271759 item 0.12999999523162842 item 0.1098809540271759 item 0.12999999523162842 name CIB_CENT_SCLK: item 0.23678570985794067 item 0.26154762506484985 item 0.23678570985794067 item 0.26154762506484985 name PIO_CENT_SCLK: item 0.23369047045707703 item 0.24142858386039734 item 0.23369047045707703 item 0.24142858386039734 name CENT_SPINE_SCLK: item 0.22982141375541687 item 0.24916666746139526 item 0.22982141375541687 item 0.24916666746139526 name SPINE_TAP_SCLK_0: item 0.18339285254478455 item 0.18184524774551392 item 0.18339285254478455 item 0.18184524774551392 name SPINE_TAP_SCLK_1: item 0.25148805975914 item 0.212797611951828 item 0.25148805975914 item 0.212797611951828 name TAP_BRANCH_SCLK: item 0.24994048476219177 item 0.24297618865966797 item 0.24994048476219177 item 0.24297618865966797 name BRANCH_SCLK: item 0.13077381253242493 item 0.14005953073501587 item 0.13077381253242493 item 0.14005953073501587 name GSRREC_SET: item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 item 0.08511904627084732 name GSRREC_HLD: item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 item 0.0773809552192688 name GSR_MPW: item 5.622500419616699 item 5.630238056182861 item 5.622500419616699 item 5.630238056182861 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 item 0.7124999761581421 name SDTAP_DO: item 0.029999999329447746 item 0.078125 item 0.0937499925494194 item 0.078125 name SETN_DO: item 0.0937499925494194 item 0.140625 item 0.15625 item 0.140625 name VALUE_DO: item 0.15625 item 0.203125 item 0.21875 item 0.203125 name SDTAP_DF: item 0.21875 item 0.265625 item 0.28125 item 0.265625 name SETN_DF: item 0.28125 item 0.328125 item 0.3437499701976776 item 0.328125 name VALUE_DF: item 0.3437499701976776 item 0.390625 item 0.40625 item 0.390625 group wire: name X0: item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 item 0.35374999046325684 name FX1: item 0.476250022649765 item 0.32499998807907104 item 0.476250022649765 item 0.32499998807907104 name X2: item 0.38499999046325684 item 0.518750011920929 item 0.38499999046325684 item 0.518750011920929 name X8: item 0.46000000834465027 item 0.6762499809265137 item 0.46000000834465027 item 0.6762499809265137 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.3512499928474426 item 0.3700000047683716 item 0.4737499952316284 item 0.46000000834465027 name X0CLK: item 0.3725000023841858 item 0.3737500011920929 item 0.45000001788139343 item 0.4675000309944153 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5: group lut: name a_f: item 0.7260000109672546 item 0.7319999933242798 item 1.0260000228881836 item 1.031999945640564 name b_f: item 0.7239999771118164 item 0.7310000061988831 item 1.0609999895095825 item 1.0989999771118164 name c_f: item 0.5559999942779541 item 0.6019999980926514 item 0.8019999861717224 item 0.8220000267028809 name d_f: item 0.38499999046325684 item 0.3720000088214874 item 0.625 item 0.6259999871253967 name a_ofx: item 0.8240000009536743 item 0.8209999799728394 item 1.1759999990463257 item 1.180999994277954 name b_ofx: item 0.8219999670982361 item 0.8199999928474426 item 1.2109999656677246 item 1.2480000257492065 name c_ofx: item 0.6539999842643738 item 0.6909999847412109 item 0.9520000219345093 item 0.9710000157356262 name d_ofx: item 0.4829999804496765 item 0.4610000252723694 item 0.7749999761581421 item 0.7749999761581421 name m0_ofx0: item 0.3370000123977661 item 0.33399999141693115 item 0.47200000286102295 item 0.43700000643730164 name m1_ofx1: item 0.2750000059604645 item 0.3319999873638153 item 0.3880000114440918 item 0.5019999742507935 name fx_ofx1: item 0.06599999964237213 item 0.09399999678134918 item 0.1770000010728836 item 0.16300000250339508 group alu: name a_f: item 0.7239999771118164 item 0.7020000219345093 item 0.9940000176429749 item 0.9829999804496765 name b_f: item 0.5379999876022339 item 0.5170000195503235 item 0.7279999852180481 item 0.703000009059906 name d_f: item 0.5210000276565552 item 0.39399999380111694 item 0.7009999752044678 item 0.5389999747276306 name a0_fco: item 0.5950000286102295 item 0.7020000219345093 item 0.9559999704360962 item 0.9580000042915344 name b0_fco: item 0.6740000247955322 item 0.7089999914169312 item 1.034999966621399 item 1.0449999570846558 name d0_fco: item 0.3019999861717224 item 0.33500000834465027 item 0.550000011920929 item 0.45100000500679016 name fci_fco: item 0.03099999949336052 item 0.03400000184774399 item 0.05400000140070915 item 0.05700000002980232 name fci_f0: item 0.4819999933242798 item 0.4259999990463257 item 0.527999997138977 item 0.5630000233650208 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.28083333373069763 item 0.2591666579246521 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.39249998331069946 item 0.39083331823349 group dff: name di_clksetpos: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clksetneg: item 0.25 item 0.25 item 0.3999999761581421 item 0.3999999761581421 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_qpos: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name clk_qneg: item 0.3333333134651184 item 0.3333333134651184 item 0.4583333134651184 item 0.4583333134651184 name lsr_q: item 0.8749999403953552 item 1.25 item 1.711666464805603 item 1.8600000143051147 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_dob: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clkb_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clk_do: item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 item 0.8270000219345093 name clka_reseta_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_ocea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_cea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_wrea_set: item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 item 3.4600000381469727 name clka_dia_set: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ada_set: item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 item 0.17399999499320984 name clka_blksel_set: item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 item 0.08900000154972076 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clka_dia_hold: item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 item 0.35100001096725464 name clka_di_hold: item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 item 0.13099999725818634 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_oceb_set: item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 item 0.0860000029206276 name clkb_ceb_set: item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 item 0.07500000298023224 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_blkset_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_oce_set: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 item 0.18700000643730164 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ce_hold: item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 item 0.20499999821186066 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 item 0.14900000393390656 name clk_reset_set_syn: item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 item 0.02199999988079071 name clk_reset_hold_syn: item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 item 0.04699999839067459 name clka_reseta_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clka_reseta_hold_syn: item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 item 0.1599999964237213 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333298563957 item 0.04333333298563957 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416665494441986 item 0.1616666615009308 item 0.21583332121372223 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333194255829 item 0.3166666626930237 name SX1Fan: item 0.009999999776482582 item 0.04249999672174454 item 0.0741666629910469 item 0.09666665643453598 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.1458333283662796 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666653752327 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583332300186157 item 0.059999994933605194 item 0.16999998688697815 name QFan: item 0.028333334252238274 item 0.07750000059604645 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666666597127914 item 0.0625 item 0.10583332926034927 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_PCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.07100000232458115 item 0.08399999886751175 item 0.09099999815225601 item 0.10199999809265137 name CIB_CENT_SCLK: item 0.16500000655651093 item 0.14900000393390656 item 0.21400000154972076 item 0.20200000703334808 name PIO_CENT_SCLK: item 0.16300000250339508 item 0.14499999582767487 item 0.20999999344348907 item 0.19699999690055847 name CENT_SPINE_SCLK: item 0.7179999947547913 item 0.7170000076293945 item 0.968999981880188 item 0.9839999675750732 name SPINE_TAP_SCLK_0: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name SPINE_TAP_SCLK_1: item 0.2919999957084656 item 0.29600000381469727 item 0.4059999883174896 item 0.414000004529953 name TAP_BRANCH_SCLK: item 0.0689999982714653 item 0.07400000095367432 item 0.09399999678134918 item 0.10199999809265137 name BRANCH_SCLK: item 0.05700000002980232 item 0.0560000017285347 item 0.07999999821186066 item 0.07900000363588333 name GSRREC_SET: item 0.054999999701976776 item 0.054999999701976776 item 0.06599999964237213 item 0.06599999964237213 name GSRREC_HLD: item 0.05000000074505806 item 0.05000000074505806 item 0.06000000238418579 item 0.06000000238418579 name GSR_MPW: item 3.632999897003174 item 3.638000011444092 item 4.359600067138672 item 4.365600109100342 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.09624999761581421 item 0.10999999195337296 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.1512499898672104 item 0.16499999165534973 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.20624999701976776 item 0.2199999839067459 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.26124998927116394 item 0.2750000059604645 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.3162500262260437 item 0.32999998331069946 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3712500035762787 item 0.38499999046325684 item 0.3125 group wire: name X0: item 0.2290000021457672 item 0.24300000071525574 item 0.3269999921321869 item 0.32100000977516174 name FX1: item 0.30399999022483826 item 0.22100000083446503 item 0.4129999876022339 item 0.30300000309944153 name X2: item 0.25999999046325684 item 0.35100001096725464 item 0.3630000054836273 item 0.47999998927116394 name X8: item 0.2800000011920929 item 0.41600000858306885 item 0.41200000047683716 item 0.6430000066757202 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C6/I5_LV: group lut: name a_f: item 0.8330000042915344 item 0.8769999742507935 item 0.8330000042915344 item 0.8769999742507935 name b_f: item 0.8220000267028809 item 0.8730000257492065 item 0.8220000267028809 item 0.8730000257492065 name c_f: item 0.6880000233650208 item 0.6809999942779541 item 0.6880000233650208 item 0.6809999942779541 name d_f: item 0.5299999713897705 item 0.44200000166893005 item 0.5299999713897705 item 0.44200000166893005 name a_ofx: item 0.9470000267028809 item 0.9929999709129333 item 0.9470000267028809 item 0.9929999709129333 name b_ofx: item 0.9360000491142273 item 0.9890000224113464 item 0.9360000491142273 item 0.9890000224113464 name c_ofx: item 0.8020000457763672 item 0.796999990940094 item 0.8020000457763672 item 0.796999990940094 name d_ofx: item 0.6439999938011169 item 0.5579999685287476 item 0.6439999938011169 item 0.5579999685287476 name m0_ofx0: item 0.4090000092983246 item 0.37599998712539673 item 0.4090000092983246 item 0.37599998712539673 name m1_ofx1: item 0.3240000009536743 item 0.4050000011920929 item 0.3240000009536743 item 0.7049999833106995 name fx_ofx1: item 0.09600000083446503 item 0.10499999672174454 item 0.09600000083446503 item 0.10499999672174454 group alu: name a_f: item 0.8389999866485596 item 0.8709999918937683 item 0.8389999866485596 item 0.8709999918937683 name b_f: item 0.609000027179718 item 0.6240000128746033 item 0.609000027179718 item 0.6240000128746033 name d_f: item 0.5910000205039978 item 0.46799999475479126 item 0.5910000205039978 item 0.46799999475479126 name a0_fco: item 0.7509999871253967 item 0.8320000171661377 item 0.7509999871253967 item 0.8320000171661377 name b0_fco: item 0.8289999961853027 item 0.9300000071525574 item 0.8289999961853027 item 0.9300000071525574 name d0_fco: item 0.4129999876022339 item 0.4000000059604645 item 0.4129999876022339 item 0.4000000059604645 name fci_fco: item 0.04399999976158142 item 0.039000000804662704 item 0.04399999976158142 item 0.039000000804662704 name fci_f0: item 0.5 item 0.44999998807907104 item 0.5 item 0.44999998807907104 group sram: name rad0_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad1_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad2_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name rad3_do: item 0.1733333319425583 item 0.1874999850988388 item 0.1733333319425583 item 0.1874999850988388 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_do: item 0.3291666507720947 item 0.3216666579246521 item 0.3291666507720947 item 0.3216666579246521 group dff: name di_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clksetneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name di_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name di_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clksetpos: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clksteneg: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name ce_clkholdpos: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name ce_clkholdneg: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clksetpos_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_qpos: item 0.24916665256023407 item 0.4674999713897705 item 0.24916665256023407 item 0.4674999713897705 name clk_qneg: item 0.25999999046325684 item 0.48249998688697815 item 0.25999999046325684 item 0.48249998688697815 name lsr_q: item 1.2074999809265137 item 1.5841666460037231 item 1.2074999809265137 item 1.5841666460037231 name lsr_clksetpos_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clksetneg_asyn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name lsr_clkholdpos_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name lsr_clkholdneg_asyn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 2.5 item 2.5 item 2.5 item 2.5 group bram: name clka_doa: item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 item 0.164166659116745 name clkb_dob: item 0.17666666209697723 item 0.19249999523162842 item 0.17666666209697723 item 0.19249999523162842 name clkb_do: item 0.29083332419395447 item 0.22083331644535065 item 0.29083332419395447 item 0.22083331644535065 name clk_do: item 0.23916666209697723 item 0.2199999839067459 item 0.23916666209697723 item 0.2199999839067459 name clka_reseta_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_ocea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_cea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_wrea_set: item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 item 0.6869999766349792 name clka_dia_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ada_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_blkset_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_ceb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_dib_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_adb_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_blkset_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_resetb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_oce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_wre_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clk_reset_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clka_reseta_hold_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 item 0.03750000149011612 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 item 0.01249999925494194 group fanout: name X0Fan: item 0.20499999821186066 item 0.2762499749660492 item 0.20499999821186066 item 0.2762499749660492 name X1Fan: item 0.0612499974668026 item 0.29499998688697815 item 0.0612499974668026 item 0.29499998688697815 name SX1Fan: item 0.014999998733401299 item 0.0637499988079071 item 0.014999998733401299 item 0.0637499988079071 name X2Fan: item 0.17999999225139618 item 0.17749999463558197 item 0.17999999225139618 item 0.17749999463558197 name X8Fan: item 0.08874999731779099 item 0.13749998807907104 item 0.08874999731779099 item 0.13749998807907104 name FFan: item 0.07374999672174454 item 0.20374999940395355 item 0.07374999672174454 item 0.20374999940395355 name QFan: item 0.042500000447034836 item 0.11625000089406967 item 0.042500000447034836 item 0.11625000089406967 name OFFan: item 0.0637499988079071 item 0.12999999523162842 item 0.0637499988079071 item 0.12999999523162842 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.18323810398578644 item 0.1869523823261261 item 0.18323810398578644 item 0.1869523823261261 name PIO_CENT_PCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.08790476620197296 item 0.10399999469518661 item 0.08790476620197296 item 0.10399999469518661 name CIB_CENT_SCLK: item 0.18942856788635254 item 0.20923809707164764 item 0.18942856788635254 item 0.20923809707164764 name PIO_CENT_SCLK: item 0.1869523823261261 item 0.1931428611278534 item 0.1869523823261261 item 0.1931428611278534 name CENT_SPINE_SCLK: item 0.18385712802410126 item 0.1993333399295807 item 0.18385712802410126 item 0.1993333399295807 name SPINE_TAP_SCLK_0: item 0.14671428501605988 item 0.14547619223594666 item 0.14671428501605988 item 0.14547619223594666 name SPINE_TAP_SCLK_1: item 0.20119045674800873 item 0.17023809254169464 item 0.20119045674800873 item 0.17023809254169464 name TAP_BRANCH_SCLK: item 0.1999523937702179 item 0.1943809539079666 item 0.1999523937702179 item 0.1943809539079666 name BRANCH_SCLK: item 0.10461904853582382 item 0.11204762011766434 item 0.10461904853582382 item 0.11204762011766434 name GSRREC_SET: item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 item 0.06809523701667786 name GSRREC_HLD: item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 item 0.06190476194024086 name GSR_MPW: item 4.498000144958496 item 4.504190444946289 item 4.498000144958496 item 4.504190444946289 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 item 0.5699999928474426 name SDTAP_DO: item 0.029999999329447746 item 0.0625 item 0.07499999552965164 item 0.0625 name SETN_DO: item 0.07499999552965164 item 0.11249999701976776 item 0.125 item 0.11249999701976776 name VALUE_DO: item 0.125 item 0.16249999403953552 item 0.17499999701976776 item 0.16249999403953552 name SDTAP_DF: item 0.17499999701976776 item 0.21249999105930328 item 0.22499999403953552 item 0.21249999105930328 name SETN_DF: item 0.22499999403953552 item 0.26249998807907104 item 0.2749999761581421 item 0.26249998807907104 name VALUE_DF: item 0.2749999761581421 item 0.3125 item 0.32499998807907104 item 0.3125 group wire: name X0: item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 item 0.28299999237060547 name FX1: item 0.38100001215934753 item 0.25999999046325684 item 0.38100001215934753 item 0.25999999046325684 name X2: item 0.30799999833106995 item 0.41499999165534973 item 0.30799999833106995 item 0.41499999165534973 name X8: item 0.36800000071525574 item 0.5410000085830688 item 0.36800000071525574 item 0.5410000085830688 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.2809999883174896 item 0.29600000381469727 item 0.3790000081062317 item 0.36800000071525574 name X0CLK: item 0.2980000078678131 item 0.29899999499320984 item 0.36000001430511475 item 0.37400001287460327 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES: group lut: name a_f: item 1.0454400777816772 item 1.0540800094604492 item 1.4774401187896729 item 1.4860799312591553 name b_f: item 1.0425599813461304 item 1.0526400804519653 item 1.5278400182724 item 1.5825600624084473 name c_f: item 0.8006400465965271 item 0.8668800592422485 item 1.1548800468444824 item 1.1836800575256348 name d_f: item 0.5544000267982483 item 0.5356800556182861 item 0.9000000357627869 item 0.9014400243759155 name a_ofx: item 1.186560034751892 item 1.1822400093078613 item 1.6934400796890259 item 1.700640082359314 name b_ofx: item 1.1836800575256348 item 1.1808000802993774 item 1.743839979171753 item 1.7971200942993164 name c_ofx: item 0.9417600035667419 item 0.9950399994850159 item 1.370880126953125 item 1.398240089416504 name d_ofx: item 0.6955199837684631 item 0.6638400554656982 item 1.1160000562667847 item 1.1160000562667847 name m0_ofx0: item 0.48528003692626953 item 0.48096001148223877 item 0.6796800494194031 item 0.6292800307273865 name m1_ofx1: item 0.3960000276565552 item 0.47808000445365906 item 0.558720052242279 item 0.7228800058364868 name fx_ofx1: item 0.09504000097513199 item 0.1353600025177002 item 0.25488001108169556 item 0.23472000658512115 group alu: name a_f: item 1.0425599813461304 item 1.0108801126480103 item 1.431360125541687 item 1.4155200719833374 name b_f: item 0.7747200131416321 item 0.7444800734519958 item 1.0483200550079346 item 1.0123200416564941 name d_f: item 0.7502400875091553 item 0.567359983921051 item 1.0094399452209473 item 0.7761600017547607 name a0_fco: item 0.8568000793457031 item 1.0108801126480103 item 1.37663996219635 item 1.379520058631897 name b0_fco: item 0.9705600738525391 item 1.0209599733352661 item 1.490399956703186 item 1.5047999620437622 name d0_fco: item 0.43487998843193054 item 0.4824000298976898 item 0.7920000553131104 item 0.6494400501251221 name fci_fco: item 0.04464000090956688 item 0.04896000400185585 item 0.0777600035071373 item 0.08208000659942627 name fci_f0: item 0.6940799951553345 item 0.6134400367736816 item 0.7603200078010559 item 0.8107200860977173 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.4044000208377838 item 0.373199999332428 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.5651999711990356 item 0.5627999901771545 group dff: name di_clksetpos: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clksetneg: item 0.36000001430511475 item 0.36000001430511475 item 0.5759999752044678 item 0.5759999752044678 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_qpos: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name clk_qneg: item 0.47999998927116394 item 0.47999998927116394 item 0.6600000262260437 item 0.6600000262260437 name lsr_q: item 1.2599999904632568 item 1.8000000715255737 item 2.4647998809814453 item 2.6784000396728516 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_dob: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clkb_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clk_do: item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 item 1.1908800601959229 name clka_reseta_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_ocea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_cea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_wrea_set: item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 item 4.982400417327881 name clka_dia_set: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ada_set: item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 item 0.2505600154399872 name clka_blksel_set: item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 item 0.1281600147485733 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clka_dia_hold: item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 item 0.5054400563240051 name clka_di_hold: item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 item 0.18863999843597412 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_oceb_set: item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 item 0.12384001165628433 name clkb_ceb_set: item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 item 0.1080000102519989 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_dib_set: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_blkset_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_adb_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_ce_set: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_oce_set: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_wre_set: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_di_set: item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 item 0.26928001642227173 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ce_hold: item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 item 0.29520002007484436 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clk_blksel_hold: item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 item 0.21456001698970795 name clk_reset_set_syn: item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 item 0.03168000280857086 name clk_reset_hold_syn: item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 item 0.0676800012588501 name clka_reseta_set_syn: item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 item 0.22176000475883484 name clka_reseta_hold_syn: item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 item 0.23040001094341278 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.06240000203251839 item 0.06240000203251839 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.02159999869763851 item 0.02159999869763851 group fanout: name X0Fan: item 0.19680000841617584 item 0.2651999890804291 item 0.23280000686645508 item 0.3107999861240387 name X1Fan: item 0.058800000697374344 item 0.2831999957561493 item 0.15960000455379486 item 0.4560000002384186 name SX1Fan: item 0.014400000683963299 item 0.06119999662041664 item 0.10679999738931656 item 0.13919998705387115 name X2Fan: item 0.1727999895811081 item 0.170400008559227 item 0.21119999885559082 item 0.21000000834465027 name X8Fan: item 0.0852000042796135 item 0.13199999928474426 item 0.10320000350475311 item 0.18479999899864197 name FFan: item 0.07079999893903732 item 0.1955999881029129 item 0.08639999479055405 item 0.24479998648166656 name QFan: item 0.040800001472234726 item 0.11160000413656235 item 0.05400000512599945 item 0.14159999787807465 name OFFan: item 0.06119999662041664 item 0.12480000406503677 item 0.09000000357627869 item 0.15240000188350677 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_PCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.10224001109600067 item 0.12096000462770462 item 0.13104000687599182 item 0.14688000082969666 name CIB_CENT_SCLK: item 0.23760001361370087 item 0.21456001698970795 item 0.3081600069999695 item 0.290880024433136 name PIO_CENT_SCLK: item 0.23472000658512115 item 0.20880000293254852 item 0.30239999294281006 item 0.2836799919605255 name CENT_SPINE_SCLK: item 1.0339200496673584 item 1.032480001449585 item 1.395359992980957 item 1.4169600009918213 name SPINE_TAP_SCLK_0: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name SPINE_TAP_SCLK_1: item 0.42048001289367676 item 0.4262400269508362 item 0.5846400260925293 item 0.5961600542068481 name TAP_BRANCH_SCLK: item 0.09936000406742096 item 0.10656000673770905 item 0.1353600025177002 item 0.14688000082969666 name BRANCH_SCLK: item 0.08208000659942627 item 0.08064000308513641 item 0.11520000547170639 item 0.11376000940799713 name GSRREC_SET: item 0.07919999957084656 item 0.07919999957084656 item 0.09504000097513199 item 0.09504000097513199 name GSRREC_HLD: item 0.07200000435113907 item 0.07200000435113907 item 0.08640000969171524 item 0.08640000969171524 name GSR_MPW: item 5.231520175933838 item 5.238720417022705 item 6.277824401855469 item 6.286464214324951 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.13860000669956207 item 0.15839999914169312 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.21779999136924744 item 0.23759999871253967 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.2970000207424164 item 0.31679999828338623 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.37619999051094055 item 0.3960000276565552 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.4554000496864319 item 0.47519999742507935 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.534600019454956 item 0.5544000267982483 item 0.45000001788139343 group wire: name X0: item 0.32976001501083374 item 0.34992000460624695 item 0.47088000178337097 item 0.4622400403022766 name FX1: item 0.43775999546051025 item 0.3182400166988373 item 0.5947200059890747 item 0.436320036649704 name X2: item 0.37439998984336853 item 0.5054400563240051 item 0.5227200388908386 item 0.6912000179290771 name X8: item 0.40320003032684326 item 0.5990400314331055 item 0.593280017375946 item 0.9259200692176819 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed ES_LV: group lut: name a_f: item 1.1995201110839844 item 1.2628799676895142 item 1.1995201110839844 item 1.2628799676895142 name b_f: item 1.1836800575256348 item 1.257120132446289 item 1.1836800575256348 item 1.257120132446289 name c_f: item 0.9907200932502747 item 0.9806400537490845 item 0.9907200932502747 item 0.9806400537490845 name d_f: item 0.7631999850273132 item 0.6364800333976746 item 0.7631999850273132 item 0.6364800333976746 name a_ofx: item 1.363680124282837 item 1.429919958114624 item 1.363680124282837 item 1.429919958114624 name b_ofx: item 1.3478400707244873 item 1.424160122871399 item 1.3478400707244873 item 1.424160122871399 name c_ofx: item 1.154880166053772 item 1.1476800441741943 item 1.154880166053772 item 1.1476800441741943 name d_ofx: item 0.9273599982261658 item 0.8035199642181396 item 0.9273599982261658 item 0.8035199642181396 name m0_ofx0: item 0.5889600515365601 item 0.5414400100708008 item 0.5889600515365601 item 0.5414400100708008 name m1_ofx1: item 0.4665600061416626 item 0.5832000374794006 item 0.4665600061416626 item 1.0152000188827515 name fx_ofx1: item 0.1382400095462799 item 0.15119999647140503 item 0.1382400095462799 item 0.15119999647140503 group alu: name a_f: item 1.2081600427627563 item 1.2542400360107422 item 1.2081600427627563 item 1.2542400360107422 name b_f: item 0.8769600987434387 item 0.8985600471496582 item 0.8769600987434387 item 0.8985600471496582 name d_f: item 0.8510400652885437 item 0.6739200353622437 item 0.8510400652885437 item 0.6739200353622437 name a0_fco: item 1.0814399719238281 item 1.198080062866211 item 1.0814399719238281 item 1.198080062866211 name b0_fco: item 1.1937600374221802 item 1.3392000198364258 item 1.1937600374221802 item 1.3392000198364258 name d0_fco: item 0.5947200059890747 item 0.5760000348091125 item 0.5947200059890747 item 0.5760000348091125 name fci_fco: item 0.06336000561714172 item 0.05616000294685364 item 0.06336000561714172 item 0.05616000294685364 name fci_f0: item 0.7200000286102295 item 0.6480000019073486 item 0.7200000286102295 item 0.6480000019073486 group sram: name rad0_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad1_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad2_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name rad3_do: item 0.24960000813007355 item 0.26999998092651367 item 0.24960000813007355 item 0.26999998092651367 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad0_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad0_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad1_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad1_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad2_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad2_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wad3_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wad3_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_do: item 0.4740000069141388 item 0.46320000290870667 item 0.4740000069141388 item 0.46320000290870667 group dff: name di_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clksetneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name di_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name di_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clksetpos: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clksteneg: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name ce_clkholdpos: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name ce_clkholdneg: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clksetpos_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_qpos: item 0.3587999939918518 item 0.6732000112533569 item 0.3587999939918518 item 0.6732000112533569 name clk_qneg: item 0.37439998984336853 item 0.6948000192642212 item 0.37439998984336853 item 0.6948000192642212 name lsr_q: item 1.738800048828125 item 2.2812001705169678 item 1.738800048828125 item 2.2812001705169678 name lsr_clksetpos_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clksetneg_asyn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name lsr_clkholdpos_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name lsr_clkholdneg_asyn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_clk: item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 item 1.8000000715255737 name lsr_lsr: item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 item 3.6000001430511475 group bram: name clka_doa: item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 item 0.23639999330043793 name clkb_dob: item 0.25440001487731934 item 0.27720001339912415 item 0.25440001487731934 item 0.27720001339912415 name clkb_do: item 0.4187999963760376 item 0.3179999887943268 item 0.4187999963760376 item 0.3179999887943268 name clk_do: item 0.344400018453598 item 0.31679999828338623 item 0.344400018453598 item 0.31679999828338623 name clka_reseta_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_ocea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_cea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_wrea_set: item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 item 0.9892799854278564 name clka_dia_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ada_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_reseta_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_ocea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_cea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_wrea_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clka_dia_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_ada_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_blkset_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_ceb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_oce_setclkb_wreb_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_dib_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_adb_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_blkset_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_resetb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_ceb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_wreb_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clkb_dib_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_adb_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_oce_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_wre_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_ad_set: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_di_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_blksel_set: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_oce_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_reset_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_wre_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_ad_hold: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_di_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_blksel_hold: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clk_reset_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clka_reseta_hold_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_set_syn: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 name clkb_resetb_hold_syn: item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 item 0.05400000512599945 name clk_clk: item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 item 0.017999999225139618 group fanout: name X0Fan: item 0.29520002007484436 item 0.3977999687194824 item 0.29520002007484436 item 0.3977999687194824 name X1Fan: item 0.08820000290870667 item 0.42480000853538513 item 0.08820000290870667 item 0.42480000853538513 name SX1Fan: item 0.02159999869763851 item 0.09180000424385071 item 0.02159999869763851 item 0.09180000424385071 name X2Fan: item 0.25920000672340393 item 0.2556000053882599 item 0.25920000672340393 item 0.2556000053882599 name X8Fan: item 0.12780000269412994 item 0.1979999840259552 item 0.12780000269412994 item 0.1979999840259552 name FFan: item 0.10620000213384628 item 0.29340001940727234 item 0.10620000213384628 item 0.29340001940727234 name QFan: item 0.06120000407099724 item 0.16740000247955322 item 0.06120000407099724 item 0.16740000247955322 name OFFan: item 0.09180000424385071 item 0.18719999492168427 item 0.09180000424385071 item 0.18719999492168427 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.26386287808418274 item 0.26921144127845764 item 0.26386287808418274 item 0.26921144127845764 name PIO_CENT_PCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_PCLK: item 0.16416001319885254 item 0.16272000968456268 item 0.2232000082731247 item 0.21887999773025513 name SPINE_TAP_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name TAP_BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name BRANCH_PCLK: item 0.03312000259757042 item 0.03744000196456909 item 0.041760001331567764 item 0.05040000379085541 name CIB_PIC_INSIDE: item 0.12658286094665527 item 0.14975999295711517 item 0.12658286094665527 item 0.14975999295711517 name CIB_CENT_SCLK: item 0.27277714014053345 item 0.30130288004875183 item 0.27277714014053345 item 0.30130288004875183 name PIO_CENT_SCLK: item 0.26921144127845764 item 0.27812573313713074 item 0.26921144127845764 item 0.27812573313713074 name CENT_SPINE_SCLK: item 0.2647542655467987 item 0.28704002499580383 item 0.2647542655467987 item 0.28704002499580383 name SPINE_TAP_SCLK_0: item 0.2112685739994049 item 0.209485724568367 item 0.2112685739994049 item 0.209485724568367 name SPINE_TAP_SCLK_1: item 0.2897142767906189 item 0.245142862200737 item 0.2897142767906189 item 0.245142862200737 name TAP_BRANCH_SCLK: item 0.2879314720630646 item 0.27990859746932983 item 0.2879314720630646 item 0.27990859746932983 name BRANCH_SCLK: item 0.15065144002437592 item 0.16134858131408691 item 0.15065144002437592 item 0.16134858131408691 name GSRREC_SET: item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 item 0.09805714339017868 name GSRREC_HLD: item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 item 0.08914285898208618 name GSR_MPW: item 6.477120399475098 item 6.486034393310547 item 6.477120399475098 item 6.486034393310547 group hclk: name HclkInMux: item 0.10656000673770905 item 0.11088000237941742 item 0.14400000870227814 item 0.1483200043439865 name HclkHbrgMux: item 0.012959999963641167 item 0.012959999963641167 item 0.01584000140428543 item 0.01584000140428543 name HclkOutMux: item 0.012959999963641167 item 0.012959999963641167 item 0.018720000982284546 item 0.018720000982284546 name HclkDivMux: item 0.28944000601768494 item 0.2779200077056885 item 0.37584003806114197 item 0.36576002836227417 group iodelay: name GI_DO: item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 item 0.8208000063896179 name SDTAP_DO: item 0.029999999329447746 item 0.09000000357627869 item 0.10799999535083771 item 0.09000000357627869 name SETN_DO: item 0.10799999535083771 item 0.16200000047683716 item 0.18000000715255737 item 0.16200000047683716 name VALUE_DO: item 0.18000000715255737 item 0.23399999737739563 item 0.25200000405311584 item 0.23399999737739563 name SDTAP_DF: item 0.25200000405311584 item 0.3059999942779541 item 0.3240000009536743 item 0.3059999942779541 name SETN_DF: item 0.3240000009536743 item 0.3779999911785126 item 0.3959999680519104 item 0.3779999911785126 name VALUE_DF: item 0.3959999680519104 item 0.45000001788139343 item 0.46799999475479126 item 0.45000001788139343 group wire: name X0: item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 item 0.40751999616622925 name FX1: item 0.5486400127410889 item 0.37439998984336853 item 0.5486400127410889 item 0.37439998984336853 name X2: item 0.4435200095176697 item 0.597599983215332 item 0.4435200095176697 item 0.597599983215332 name X8: item 0.5299200415611267 item 0.7790400385856628 item 0.5299200415611267 item 0.7790400385856628 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.40463998913764954 item 0.4262400269508362 item 0.5457600355148315 item 0.5299200415611267 name X0CLK: item 0.4291200339794159 item 0.43056002259254456 item 0.5184000134468079 item 0.5385600328445435 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4: group lut: name a_f: item 0.6316199898719788 item 0.6368399858474731 item 0.8926200270652771 item 0.8978399634361267 name b_f: item 0.6298800110816956 item 0.6359699964523315 item 0.9230700135231018 item 0.9561299681663513 name c_f: item 0.48372000455856323 item 0.5237399935722351 item 0.6977400183677673 item 0.7151400446891785 name d_f: item 0.3349500000476837 item 0.32364001870155334 item 0.543749988079071 item 0.5446199774742126 name a_ofx: item 0.7168800234794617 item 0.7142699956893921 item 1.0231200456619263 item 1.0274699926376343 name b_ofx: item 0.7151399850845337 item 0.7134000062942505 item 1.053570032119751 item 1.0857599973678589 name c_ofx: item 0.5689799785614014 item 0.601170003414154 item 0.8282400369644165 item 0.8447700142860413 name d_ofx: item 0.42020997405052185 item 0.4010700285434723 item 0.6742500066757202 item 0.6742500066757202 name m0_ofx0: item 0.29319000244140625 item 0.29058000445365906 item 0.41064000129699707 item 0.38019001483917236 name m1_ofx1: item 0.23925000429153442 item 0.28883999586105347 item 0.3375599980354309 item 0.436739981174469 name fx_ofx1: item 0.057420000433921814 item 0.08177999407052994 item 0.1539900004863739 item 0.14180999994277954 group alu: name a_f: item 0.6298800110816956 item 0.6107400059700012 item 0.8647800087928772 item 0.85521000623703 name b_f: item 0.4680599868297577 item 0.44979003071784973 item 0.633359968662262 item 0.6116099953651428 name d_f: item 0.4532700181007385 item 0.3427799940109253 item 0.6098699569702148 item 0.4689299762248993 name a0_fco: item 0.5176500082015991 item 0.6107400059700012 item 0.8317199945449829 item 0.8334600329399109 name b0_fco: item 0.5863800048828125 item 0.6168299913406372 item 0.9004499912261963 item 0.9091499447822571 name d0_fco: item 0.26273998618125916 item 0.29145002365112305 item 0.47850000858306885 item 0.3923700153827667 name fci_fco: item 0.026969999074935913 item 0.029580002650618553 item 0.04698000103235245 item 0.04958999902009964 name fci_f0: item 0.41933998465538025 item 0.3706200122833252 item 0.4593600034713745 item 0.4898100197315216 group sram: name rad0_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad1_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad2_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name rad3_do: item 0.15080000460147858 item 0.16312499344348907 item 0.24432499706745148 item 0.2254749983549118 name clk_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad0_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad0_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad1_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad1_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad2_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad2_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wad3_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wad3_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_do: item 0.2863749861717224 item 0.2798500061035156 item 0.3414749801158905 item 0.3400249779224396 group dff: name di_clksetpos: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clksetneg: item 0.2175000011920929 item 0.2175000011920929 item 0.3479999899864197 item 0.3479999899864197 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clksteneg: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name ce_clkholdpos: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name ce_clkholdneg: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clksetpos_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_qpos: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name clk_qneg: item 0.28999999165534973 item 0.28999999165534973 item 0.398749977350235 item 0.398749977350235 name lsr_q: item 0.7612499594688416 item 1.087499976158142 item 1.489149808883667 item 1.6182000637054443 name lsr_clksetpos_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clksetneg_asyn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name lsr_clkholdpos_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name lsr_clkholdneg_asyn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_clk: item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 item 1.087499976158142 name lsr_lsr: item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 item 2.174999952316284 group bram: name clka_doa: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_dob: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clkb_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clk_do: item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 item 0.7194900512695312 name clka_reseta_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_ocea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_cea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_wrea_set: item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 item 3.010200023651123 name clka_dia_set: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ada_set: item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 item 0.1513800024986267 name clka_blksel_set: item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 item 0.07743000239133835 name clka_reseta_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_ocea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_cea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_wrea_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clka_dia_hold: item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 item 0.3053700029850006 name clka_di_hold: item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 item 0.11396999657154083 name clka_ada_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clka_blkset_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_oceb_set: item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 item 0.07482000440359116 name clkb_ceb_set: item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 item 0.06525000184774399 name clkb_oce_setclkb_wreb_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_dib_set: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clkb_adb_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_blkset_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clkb_resetb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_ceb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_wreb_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clkb_dib_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_adb_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clkb_blksel_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_ce_set: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_oce_set: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clk_reset_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_wre_set: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clk_ad_set: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_di_set: item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 item 0.16269001364707947 name clk_blksel_set: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ce_hold: item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 item 0.17835000157356262 name clk_oce_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_reset_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_wre_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_ad_hold: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_di_hold: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clk_blksel_hold: item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 item 0.12962999939918518 name clk_reset_set_syn: item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 item 0.019139999523758888 name clk_reset_hold_syn: item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 item 0.04088999703526497 name clka_reseta_set_syn: item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 item 0.13398000597953796 name clka_reseta_hold_syn: item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 item 0.13920000195503235 name clkb_resetb_set_syn: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 name clkb_resetb_hold_syn: item 0.032625000923871994 item 0.032625000923871994 item 0.037700001150369644 item 0.037700001150369644 name clk_clk: item 0.010874999687075615 item 0.010874999687075615 item 0.013049999251961708 item 0.013049999251961708 group fanout: name X0Fan: item 0.11890000104904175 item 0.16022498905658722 item 0.14064998924732208 item 0.18777498602867126 name X1Fan: item 0.03552499786019325 item 0.17109999060630798 item 0.09642499685287476 item 0.27549999952316284 name SX1Fan: item 0.008700000122189522 item 0.03697499632835388 item 0.06452500075101852 item 0.08409999310970306 name X2Fan: item 0.10439999401569366 item 0.10294999927282333 item 0.12759999930858612 item 0.12687499821186066 name X8Fan: item 0.05147499963641167 item 0.07974999397993088 item 0.06234999746084213 item 0.1116499975323677 name FFan: item 0.042774997651576996 item 0.11817499250173569 item 0.05219999700784683 item 0.14789998531341553 name QFan: item 0.024650000035762787 item 0.06742499768733978 item 0.032625000923871994 item 0.08554999530315399 name OFFan: item 0.03697499632835388 item 0.07540000230073929 item 0.054375000298023224 item 0.09207499772310257 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_PCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_PCLK: item 0.09917999804019928 item 0.09831000119447708 item 0.13484999537467957 item 0.13223999738693237 name SPINE_TAP_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name TAP_BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name BRANCH_PCLK: item 0.020010000094771385 item 0.022619999945163727 item 0.02522999979555607 item 0.03044999949634075 name CIB_PIC_INSIDE: item 0.0617700032889843 item 0.07307999581098557 item 0.07916999608278275 item 0.08873999863862991 name CIB_CENT_SCLK: item 0.14355000853538513 item 0.12962999939918518 item 0.1861799955368042 item 0.17574000358581543 name PIO_CENT_SCLK: item 0.14180999994277954 item 0.1261499971151352 item 0.1826999932527542 item 0.17138999700546265 name CENT_SPINE_SCLK: item 0.6246600151062012 item 0.6237900257110596 item 0.8430299758911133 item 0.8560799956321716 name SPINE_TAP_SCLK_0: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name SPINE_TAP_SCLK_1: item 0.254040002822876 item 0.25751999020576477 item 0.35321998596191406 item 0.3601800203323364 name TAP_BRANCH_SCLK: item 0.060029998421669006 item 0.06437999755144119 item 0.08177999407052994 item 0.08873999863862991 name BRANCH_SCLK: item 0.04958999902009964 item 0.04872000217437744 item 0.06960000097751617 item 0.06873000413179398 name GSRREC_SET: item 0.047850001603364944 item 0.047850001603364944 item 0.057420000433921814 item 0.057420000433921814 name GSRREC_HLD: item 0.04350000247359276 item 0.04350000247359276 item 0.05220000073313713 item 0.05220000073313713 name GSR_MPW: item 3.160709857940674 item 3.165060043334961 item 3.7928521633148193 item 3.798072099685669 group hclk: name HclkInMux: item 0.06437999755144119 item 0.06699000298976898 item 0.08700000494718552 item 0.08961000293493271 name HclkHbrgMux: item 0.007829999551177025 item 0.007829999551177025 item 0.009569999761879444 item 0.009569999761879444 name HclkOutMux: item 0.007829999551177025 item 0.007829999551177025 item 0.011309999972581863 item 0.011309999972581863 name HclkDivMux: item 0.17486999928951263 item 0.16791000962257385 item 0.22707000374794006 item 0.22098000347614288 group iodelay: name GI_DO: item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 item 0.4959000051021576 name SDTAP_DO: item 0.029999999329447746 item 0.08373750001192093 item 0.09569999575614929 item 0.054375000298023224 name SETN_DO: item 0.06524999439716339 item 0.13158749043941498 item 0.14354999363422394 item 0.09787499904632568 name VALUE_DO: item 0.10875000059604645 item 0.17943750321865082 item 0.19139999151229858 item 0.14137499034404755 name SDTAP_DF: item 0.1522499918937683 item 0.22728748619556427 item 0.23925000429153442 item 0.1848749965429306 name SETN_DF: item 0.19574999809265137 item 0.2751375138759613 item 0.2870999872684479 item 0.22837498784065247 name VALUE_DF: item 0.23924997448921204 item 0.32298749685287476 item 0.3349500000476837 item 0.2718749940395355 group wire: name X0: item 0.19923000037670135 item 0.21141000092029572 item 0.2844899892807007 item 0.2792700231075287 name FX1: item 0.26447999477386475 item 0.19226999580860138 item 0.35931000113487244 item 0.26361000537872314 name X2: item 0.22619999945163727 item 0.3053700029850006 item 0.3158099949359894 item 0.41760000586509705 name X8: item 0.243599995970726 item 0.36191999912261963 item 0.35844001173973083 item 0.559410035610199 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.24446998536586761 item 0.25751999020576477 item 0.32973000407218933 item 0.32016000151634216 name X0CLK: item 0.25925999879837036 item 0.26012998819351196 item 0.3132000267505646 item 0.32538002729415894 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A4_LV: group lut: name a_f: item 0.7497000098228455 item 0.7892999649047852 item 0.7497000098228455 item 0.7892999649047852 name b_f: item 0.739799976348877 item 0.7857000231742859 item 0.739799976348877 item 0.7857000231742859 name c_f: item 0.6191999912261963 item 0.6128999590873718 item 0.6191999912261963 item 0.6128999590873718 name d_f: item 0.476999968290329 item 0.3977999985218048 item 0.476999968290329 item 0.3977999985218048 name a_ofx: item 0.8522999882698059 item 0.8936999440193176 item 0.8522999882698059 item 0.8936999440193176 name b_ofx: item 0.8424000144004822 item 0.8901000022888184 item 0.8424000144004822 item 0.8901000022888184 name c_ofx: item 0.7218000292778015 item 0.7172999978065491 item 0.7218000292778015 item 0.7172999978065491 name d_ofx: item 0.5795999765396118 item 0.5021999478340149 item 0.5795999765396118 item 0.5021999478340149 name m0_ofx0: item 0.36809998750686646 item 0.3383999764919281 item 0.36809998750686646 item 0.3383999764919281 name m1_ofx1: item 0.29159998893737793 item 0.3644999861717224 item 0.29159998893737793 item 0.6344999670982361 name fx_ofx1: item 0.08639999479055405 item 0.09449999779462814 item 0.08639999479055405 item 0.09449999779462814 group alu: name a_f: item 0.7550999522209167 item 0.7838999629020691 item 0.7550999522209167 item 0.7838999629020691 name b_f: item 0.5480999946594238 item 0.5615999698638916 item 0.5480999946594238 item 0.5615999698638916 name d_f: item 0.5318999886512756 item 0.4211999773979187 item 0.5318999886512756 item 0.4211999773979187 name a0_fco: item 0.6758999824523926 item 0.7487999796867371 item 0.6758999824523926 item 0.7487999796867371 name b0_fco: item 0.7460999488830566 item 0.8370000123977661 item 0.7460999488830566 item 0.8370000123977661 name d0_fco: item 0.3716999888420105 item 0.35999998450279236 item 0.3716999888420105 item 0.35999998450279236 name fci_fco: item 0.03959999978542328 item 0.035099998116493225 item 0.03959999978542328 item 0.035099998116493225 name fci_f0: item 0.44999998807907104 item 0.4049999713897705 item 0.44999998807907104 item 0.4049999713897705 group sram: name rad0_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad1_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad2_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name rad3_do: item 0.15599998831748962 item 0.16874998807907104 item 0.15599998831748962 item 0.16874998807907104 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad0_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad0_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad1_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad1_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad2_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad2_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wad3_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wad3_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_do: item 0.29624998569488525 item 0.28949999809265137 item 0.29624998569488525 item 0.28949999809265137 group dff: name di_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clksetneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name di_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name di_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clksetpos: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clksteneg: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name ce_clkholdpos: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name ce_clkholdneg: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clksetpos_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_qpos: item 0.22424998879432678 item 0.4207499623298645 item 0.22424998879432678 item 0.4207499623298645 name clk_qneg: item 0.23399998247623444 item 0.43424996733665466 item 0.23399998247623444 item 0.43424996733665466 name lsr_q: item 1.0867499113082886 item 1.4257498979568481 item 1.0867499113082886 item 1.4257498979568481 name lsr_clksetpos_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clksetneg_asyn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name lsr_clkholdpos_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name lsr_clkholdneg_asyn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_clk: item 1.125 item 1.125 item 1.125 item 1.125 name lsr_lsr: item 2.25 item 2.25 item 2.25 item 2.25 group bram: name clka_doa: item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 item 0.14774999022483826 name clkb_dob: item 0.1589999943971634 item 0.1732499897480011 item 0.1589999943971634 item 0.1732499897480011 name clkb_do: item 0.2617499828338623 item 0.19874997437000275 item 0.2617499828338623 item 0.19874997437000275 name clk_do: item 0.21524998545646667 item 0.1979999840259552 item 0.21524998545646667 item 0.1979999840259552 name clka_reseta_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_ocea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_cea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_wrea_set: item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 item 0.6182999610900879 name clka_dia_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ada_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_reseta_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_ocea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_cea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_wrea_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clka_dia_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_ada_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_blkset_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_ceb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_oce_setclkb_wreb_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_dib_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_adb_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_blkset_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_resetb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_ceb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_wreb_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clkb_dib_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_adb_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_oce_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_wre_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_ad_set: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_di_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_blksel_set: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_oce_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_reset_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_wre_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_ad_hold: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_di_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_blksel_hold: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clk_reset_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clka_reseta_hold_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_set_syn: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 name clkb_resetb_hold_syn: item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 item 0.03375000134110451 name clk_clk: item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 item 0.011249998584389687 group fanout: name X0Fan: item 0.18449999392032623 item 0.24862496554851532 item 0.18449999392032623 item 0.24862496554851532 name X1Fan: item 0.05512499809265137 item 0.2654999792575836 item 0.05512499809265137 item 0.2654999792575836 name SX1Fan: item 0.013499998487532139 item 0.057374998927116394 item 0.013499998487532139 item 0.057374998927116394 name X2Fan: item 0.16199998557567596 item 0.15974998474121094 item 0.16199998557567596 item 0.15974998474121094 name X8Fan: item 0.07987499237060547 item 0.1237499862909317 item 0.07987499237060547 item 0.1237499862909317 name FFan: item 0.0663749948143959 item 0.18337500095367432 item 0.0663749948143959 item 0.18337500095367432 name QFan: item 0.03824999928474426 item 0.10462500154972076 item 0.03824999928474426 item 0.10462500154972076 name OFFan: item 0.057374998927116394 item 0.11699999123811722 item 0.057374998927116394 item 0.11699999123811722 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.1649142950773239 item 0.16825714707374573 item 0.1649142950773239 item 0.16825714707374573 name PIO_CENT_PCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_PCLK: item 0.10260000079870224 item 0.10169999301433563 item 0.1394999921321869 item 0.13679999113082886 name SPINE_TAP_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name TAP_BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name BRANCH_PCLK: item 0.02070000022649765 item 0.023399999365210533 item 0.026099998503923416 item 0.03150000050663948 name CIB_PIC_INSIDE: item 0.07911428809165955 item 0.09359999001026154 item 0.07911428809165955 item 0.09359999001026154 name CIB_CENT_SCLK: item 0.1704857051372528 item 0.188314288854599 item 0.1704857051372528 item 0.188314288854599 name PIO_CENT_SCLK: item 0.16825714707374573 item 0.17382857203483582 item 0.16825714707374573 item 0.17382857203483582 name CENT_SPINE_SCLK: item 0.1654714047908783 item 0.1793999969959259 item 0.1654714047908783 item 0.1793999969959259 name SPINE_TAP_SCLK_0: item 0.13204285502433777 item 0.13092857599258423 item 0.13204285502433777 item 0.13092857599258423 name SPINE_TAP_SCLK_1: item 0.18107140064239502 item 0.15321427583694458 item 0.18107140064239502 item 0.15321427583694458 name TAP_BRANCH_SCLK: item 0.17995715141296387 item 0.17494285106658936 item 0.17995715141296387 item 0.17494285106658936 name BRANCH_SCLK: item 0.0941571444272995 item 0.10084285587072372 item 0.0941571444272995 item 0.10084285587072372 name GSRREC_SET: item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 item 0.06128571182489395 name GSRREC_HLD: item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 item 0.055714283138513565 name GSR_MPW: item 4.0482001304626465 item 4.053771495819092 item 4.0482001304626465 item 4.053771495819092 group hclk: name HclkInMux: item 0.066600002348423 item 0.06929999589920044 item 0.08999999612569809 item 0.09269999712705612 name HclkHbrgMux: item 0.008099999278783798 item 0.008099999278783798 item 0.00989999994635582 item 0.00989999994635582 name HclkOutMux: item 0.008099999278783798 item 0.008099999278783798 item 0.011699999682605267 item 0.011699999682605267 name HclkDivMux: item 0.1808999925851822 item 0.1737000048160553 item 0.23489999771118164 item 0.22859999537467957 group iodelay: name GI_DO: item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 item 0.512999951839447 name SDTAP_DO: item 0.029999999329447746 item 0.05624999850988388 item 0.06749999523162842 item 0.05624999850988388 name SETN_DO: item 0.06749999523162842 item 0.10124999284744263 item 0.11249999701976776 item 0.10124999284744263 name VALUE_DO: item 0.11249999701976776 item 0.14624999463558197 item 0.1574999988079071 item 0.14624999463558197 name SDTAP_DF: item 0.1574999988079071 item 0.19124998152256012 item 0.20249998569488525 item 0.19124998152256012 name SETN_DF: item 0.20249998569488525 item 0.23624998331069946 item 0.2474999725818634 item 0.23624998331069946 name VALUE_DF: item 0.2474999725818634 item 0.28125 item 0.29249998927116394 item 0.28125 group wire: name X0: item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 item 0.2546999752521515 name FX1: item 0.34290000796318054 item 0.23399998247623444 item 0.34290000796318054 item 0.23399998247623444 name X2: item 0.27719998359680176 item 0.3734999895095825 item 0.27719998359680176 item 0.3734999895095825 name X8: item 0.3312000036239624 item 0.4869000017642975 item 0.3312000036239624 item 0.4869000017642975 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.25289997458457947 item 0.266400009393692 item 0.3411000072956085 item 0.3312000036239624 name X0CLK: item 0.26820001006126404 item 0.26909998059272766 item 0.3240000009536743 item 0.33660000562667847 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 8: group lut: name a_f: item 5.082000255584717 item 5.124000072479248 item 7.182000160217285 item 7.223999500274658 name b_f: item 5.067999839782715 item 5.117000102996826 item 7.427000045776367 item 7.692999839782715 name c_f: item 3.8919999599456787 item 4.2139997482299805 item 5.613999843597412 item 5.754000186920166 name d_f: item 2.694999933242798 item 2.6040000915527344 item 4.375 item 4.381999969482422 name a_ofx: item 5.76800012588501 item 5.746999740600586 item 8.232000350952148 item 8.267000198364258 name b_ofx: item 5.753999710083008 item 5.739999771118164 item 8.476999282836914 item 8.736000061035156 name c_ofx: item 4.578000068664551 item 4.836999893188477 item 6.664000034332275 item 6.796999931335449 name d_ofx: item 3.380999803543091 item 3.2270002365112305 item 5.424999713897705 item 5.424999713897705 name m0_ofx0: item 2.3590002059936523 item 2.3379998207092285 item 3.303999900817871 item 3.059000015258789 name m1_ofx1: item 1.9250000715255737 item 2.3239998817443848 item 2.7160000801086426 item 3.5139999389648438 name fx_ofx1: item 0.4620000123977661 item 0.6579999923706055 item 1.2389999628067017 item 1.1410000324249268 group alu: name a_f: item 5.067999839782715 item 4.914000034332275 item 6.958000183105469 item 6.88100004196167 name b_f: item 3.7659997940063477 item 3.619000196456909 item 5.095999717712402 item 4.921000003814697 name d_f: item 3.647000312805176 item 2.757999897003174 item 4.906999588012695 item 3.7729997634887695 name a0_fco: item 4.164999961853027 item 4.914000034332275 item 6.691999912261963 item 6.705999851226807 name b0_fco: item 4.718000411987305 item 4.9629998207092285 item 7.244999885559082 item 7.314999580383301 name d0_fco: item 2.113999843597412 item 2.3450000286102295 item 3.8500001430511475 item 3.1570000648498535 name fci_fco: item 0.21699999272823334 item 0.23800000548362732 item 0.37800002098083496 item 0.39899998903274536 name fci_f0: item 3.374000072479248 item 2.9819998741149902 item 3.696000099182129 item 3.94100022315979 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.965833306312561 item 1.81416654586792 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.747499942779541 item 2.735833168029785 group dff: name di_clksetpos: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clksetneg: item 1.75 item 1.75 item 2.799999713897705 item 2.799999713897705 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_qpos: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name clk_qneg: item 2.3333332538604736 item 2.3333332538604736 item 3.2083332538604736 item 3.2083332538604736 name lsr_q: item 6.124999523162842 item 8.75 item 11.98166561126709 item 13.020000457763672 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_dob: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clkb_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clk_do: item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 item 5.789000034332275 name clka_reseta_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_ocea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_cea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_wrea_set: item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 item 24.220001220703125 name clka_dia_set: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ada_set: item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 item 1.2179999351501465 name clka_blksel_set: item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 item 0.6230000257492065 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clka_dia_hold: item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 item 2.4570000171661377 name clka_di_hold: item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 item 0.9169999957084656 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_oceb_set: item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 item 0.6019999980926514 name clkb_ceb_set: item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 item 0.5250000357627869 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_dib_set: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_blkset_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_adb_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_ce_set: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_oce_set: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_wre_set: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_di_set: item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 item 1.309000015258789 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ce_hold: item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 item 1.434999942779541 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clk_blksel_hold: item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 item 1.0429999828338623 name clk_reset_set_syn: item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 item 0.15399999916553497 name clk_reset_hold_syn: item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 item 0.32899999618530273 name clka_reseta_set_syn: item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 item 1.0779999494552612 name clka_reseta_hold_syn: item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 item 1.1200000047683716 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.3033333420753479 item 0.3033333420753479 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.10499998927116394 item 0.10499998927116394 group fanout: name X0Fan: item 0.9566667079925537 item 1.2891665697097778 item 1.131666660308838 item 1.5108332633972168 name X1Fan: item 0.28583332896232605 item 1.37666654586792 item 0.7758333086967468 item 2.2166666984558105 name SX1Fan: item 0.07000000029802322 item 0.29749998450279236 item 0.5191666483879089 item 0.6766666173934937 name X2Fan: item 0.8399999141693115 item 0.82833331823349 item 1.0266666412353516 item 1.0208332538604736 name X8Fan: item 0.414166659116745 item 0.6416666507720947 item 0.5016666650772095 item 0.8983333110809326 name FFan: item 0.34416663646698 item 0.950833261013031 item 0.41999995708465576 item 1.1899999380111694 name QFan: item 0.19833333790302277 item 0.5425000190734863 item 0.26250001788139343 item 0.68833327293396 name OFFan: item 0.29749998450279236 item 0.6066666841506958 item 0.4375 item 0.7408332824707031 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_PCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.4970000088214874 item 0.5879999995231628 item 0.6369999647140503 item 0.7139999866485596 name CIB_CENT_SCLK: item 1.15500009059906 item 1.0429999828338623 item 1.4980000257492065 item 1.4140000343322754 name PIO_CENT_SCLK: item 1.1410000324249268 item 1.0149999856948853 item 1.46999990940094 item 1.378999948501587 name CENT_SPINE_SCLK: item 5.026000022888184 item 5.019000053405762 item 6.7829999923706055 item 6.887999534606934 name SPINE_TAP_SCLK_0: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name SPINE_TAP_SCLK_1: item 2.0439999103546143 item 2.072000026702881 item 2.8420000076293945 item 2.8980000019073486 name TAP_BRANCH_SCLK: item 0.4829999804496765 item 0.5180000066757202 item 0.6579999923706055 item 0.7139999866485596 name BRANCH_SCLK: item 0.39899998903274536 item 0.3920000195503235 item 0.5600000023841858 item 0.5530000329017639 name GSRREC_SET: item 0.38499999046325684 item 0.38499999046325684 item 0.4620000123977661 item 0.4620000123977661 name GSRREC_HLD: item 0.3499999940395355 item 0.3499999940395355 item 0.42000001668930054 item 0.42000001668930054 name GSR_MPW: item 25.430999755859375 item 25.465999603271484 item 30.517200469970703 item 30.559200286865234 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.6737499833106995 item 0.7699999213218689 item 0.4375 name SETN_DO: item 0.5249999761581421 item 1.0587499141693115 item 1.1549999713897705 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.443750023841858 item 1.5399998426437378 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.8287498950958252 item 1.9250000715255737 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 2.213750123977661 item 2.309999942779541 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.598750114440918 item 2.694999933242798 item 2.1875 group wire: name X0: item 1.6030000448226929 item 1.7009999752044678 item 2.2890000343322754 item 2.246999979019165 name FX1: item 2.128000020980835 item 1.5470000505447388 item 2.8909997940063477 item 2.121000051498413 name X2: item 1.8199999332427979 item 2.4570000171661377 item 2.5410001277923584 item 3.359999895095825 name X8: item 1.9600000381469727 item 2.9120001792907715 item 2.884000062942505 item 4.500999927520752 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 9: group lut: name a_f: item 3.564659833908081 item 3.5941197872161865 item 5.037660121917725 item 5.067119598388672 name b_f: item 3.554839849472046 item 3.589210033416748 item 5.20950984954834 item 5.396089553833008 name c_f: item 2.7299599647521973 item 2.955819845199585 item 3.937819719314575 item 4.036019802093506 name d_f: item 1.8903498649597168 item 1.8265199661254883 item 3.0687499046325684 item 3.073659896850586 name a_ofx: item 4.045839786529541 item 4.031109809875488 item 5.774159908294678 item 5.798709869384766 name b_ofx: item 4.036019802093506 item 4.026199817657471 item 5.946009635925293 item 6.127679824829102 name c_ofx: item 3.2111399173736572 item 3.3928098678588867 item 4.674319744110107 item 4.7676100730896 name d_ofx: item 2.3715298175811768 item 2.26350998878479 item 3.8052496910095215 item 3.8052496910095215 name m0_ofx0: item 1.654670000076294 item 1.6399399042129517 item 2.3175199031829834 item 2.145669937133789 name m1_ofx1: item 1.350250005722046 item 1.6301199197769165 item 1.905079960823059 item 2.46481990814209 name fx_ofx1: item 0.32405999302864075 item 0.46153998374938965 item 0.8690699934959412 item 0.8003299832344055 group alu: name a_f: item 3.554839849472046 item 3.446820020675659 item 4.880539894104004 item 4.8265299797058105 name b_f: item 2.6415798664093018 item 2.5384700298309326 item 3.574479818344116 item 3.4517300128936768 name d_f: item 2.558109998703003 item 1.9345399141311646 item 3.4419097900390625 item 2.6464898586273193 name a0_fco: item 2.921450138092041 item 3.446820020675659 item 4.693959712982178 item 4.703779697418213 name b0_fco: item 3.309340000152588 item 3.4811899662017822 item 5.081849575042725 item 5.1309494972229 name d0_fco: item 1.48281991481781 item 1.6448500156402588 item 2.700500011444092 item 2.2144100666046143 name fci_fco: item 0.15220999717712402 item 0.16694000363349915 item 0.26513999700546265 item 0.27987000346183777 name fci_f0: item 2.366619825363159 item 2.0916600227355957 item 2.592479944229126 item 2.7643299102783203 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 1.3788915872573853 item 1.2725082635879517 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.9271748065948486 item 1.9189915657043457 group dff: name di_clksetpos: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clksetneg: item 1.2274999618530273 item 1.2274999618530273 item 1.96399986743927 item 1.96399986743927 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_qpos: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name clk_qneg: item 1.6366665363311768 item 1.6366665363311768 item 2.2504165172576904 item 2.2504165172576904 name lsr_q: item 4.2962493896484375 item 6.137499809265137 item 8.404281616210938 item 9.132599830627441 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_dob: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clkb_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clk_do: item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 item 4.060569763183594 name clka_reseta_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_ocea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_cea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_wrea_set: item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 item 16.98859977722168 name clka_dia_set: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ada_set: item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 item 0.8543399572372437 name clka_blksel_set: item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 item 0.43698999285697937 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clka_dia_hold: item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 item 1.7234100103378296 name clka_di_hold: item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 item 0.6432099938392639 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_oceb_set: item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 item 0.42225998640060425 name clkb_ceb_set: item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 item 0.3682500123977661 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_dib_set: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_blkset_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_adb_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_ce_set: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_oce_set: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_wre_set: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_di_set: item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 item 0.9181699752807617 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ce_hold: item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 item 1.0065499544143677 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clk_blksel_hold: item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 item 0.7315899729728699 name clk_reset_set_syn: item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 item 0.10801999270915985 name clk_reset_hold_syn: item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 item 0.23076999187469482 name clka_reseta_set_syn: item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 item 0.7561399936676025 name clka_reseta_hold_syn: item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 item 0.785599946975708 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.21276666224002838 item 0.21276666224002838 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.07364999502897263 item 0.07364999502897263 group fanout: name X0Fan: item 0.6710333228111267 item 0.9042582511901855 item 0.7937833070755005 item 1.0597416162490845 name X1Fan: item 0.20049165189266205 item 0.9656332731246948 item 0.5441916584968567 item 1.5548332929611206 name SX1Fan: item 0.04909999668598175 item 0.20867498219013214 item 0.3641583025455475 item 0.47463327646255493 name X2Fan: item 0.589199960231781 item 0.5810166597366333 item 0.7201333045959473 item 0.716041624546051 name X8Fan: item 0.29050832986831665 item 0.45008328557014465 item 0.35188332200050354 item 0.6301166415214539 name FFan: item 0.2414083182811737 item 0.6669415831565857 item 0.2945999801158905 item 0.8346999287605286 name QFan: item 0.13911665976047516 item 0.38052499294281006 item 0.18412500619888306 item 0.4828166365623474 name OFFan: item 0.20867498219013214 item 0.42553332448005676 item 0.30687499046325684 item 0.519641637802124 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_PCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.3486100137233734 item 0.4124399721622467 item 0.4468099772930145 item 0.500819981098175 name CIB_CENT_SCLK: item 0.8101500272750854 item 0.7315899729728699 item 1.0507400035858154 item 0.9918199777603149 name PIO_CENT_SCLK: item 0.8003299832344055 item 0.7119499444961548 item 1.0310999155044556 item 0.9672699570655823 name CENT_SPINE_SCLK: item 3.5253798961639404 item 3.520469903945923 item 4.757789611816406 item 4.83143949508667 name SPINE_TAP_SCLK_0: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name SPINE_TAP_SCLK_1: item 1.4337199926376343 item 1.4533599615097046 item 1.993459939956665 item 2.0327398777008057 name TAP_BRANCH_SCLK: item 0.3387899696826935 item 0.36333999037742615 item 0.46153998374938965 item 0.500819981098175 name BRANCH_SCLK: item 0.27987000346183777 item 0.2749600112438202 item 0.392799973487854 item 0.3878900110721588 name GSRREC_SET: item 0.2700499892234802 item 0.2700499892234802 item 0.32405999302864075 item 0.32405999302864075 name GSRREC_HLD: item 0.24549999833106995 item 0.24549999833106995 item 0.2946000099182129 item 0.2946000099182129 name GSR_MPW: item 17.838029861450195 item 17.862579345703125 item 21.405635833740234 item 21.435096740722656 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.4725874662399292 item 0.5400999188423157 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.7426374554634094 item 0.8101499080657959 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 1.0126874446868896 item 1.0801998376846313 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.282737374305725 item 1.350250005722046 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.5527875423431396 item 1.6202998161315918 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.822837471961975 item 1.8903498649597168 item 1.5343749523162842 group wire: name X0: item 1.1243900060653687 item 1.1931300163269043 item 1.6055699586868286 item 1.5761100053787231 name FX1: item 1.4926398992538452 item 1.0851099491119385 item 2.027829885482788 item 1.4877300262451172 name X2: item 1.2765998840332031 item 1.7234100103378296 item 1.7823299169540405 item 2.356799840927124 name X8: item 1.3747999668121338 item 2.042559862136841 item 2.0229198932647705 item 3.157130002975464 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 10: group lut: name a_f: item 5.830999851226807 item 6.138999938964844 item 5.830999851226807 item 6.138999938964844 name b_f: item 5.754000186920166 item 6.111000061035156 item 5.754000186920166 item 6.111000061035156 name c_f: item 4.815999984741211 item 4.767000198364258 item 4.815999984741211 item 4.767000198364258 name d_f: item 3.7099997997283936 item 3.0940001010894775 item 3.7099997997283936 item 3.0940001010894775 name a_ofx: item 6.629000186920166 item 6.950999736785889 item 6.629000186920166 item 6.950999736785889 name b_ofx: item 6.552000522613525 item 6.923000335693359 item 6.552000522613525 item 6.923000335693359 name c_ofx: item 5.61400032043457 item 5.578999996185303 item 5.61400032043457 item 5.578999996185303 name d_ofx: item 4.507999897003174 item 3.9059996604919434 item 4.507999897003174 item 3.9059996604919434 name m0_ofx0: item 2.8630001544952393 item 2.631999969482422 item 2.8630001544952393 item 2.631999969482422 name m1_ofx1: item 2.2680001258850098 item 2.8350000381469727 item 2.2680001258850098 item 4.934999942779541 name fx_ofx1: item 0.671999990940094 item 0.73499995470047 item 0.671999990940094 item 0.73499995470047 group alu: name a_f: item 5.873000144958496 item 6.0970001220703125 item 5.873000144958496 item 6.0970001220703125 name b_f: item 4.263000011444092 item 4.368000030517578 item 4.263000011444092 item 4.368000030517578 name d_f: item 4.13700008392334 item 3.2760000228881836 item 4.13700008392334 item 3.2760000228881836 name a0_fco: item 5.256999969482422 item 5.824000358581543 item 5.256999969482422 item 5.824000358581543 name b0_fco: item 5.802999973297119 item 6.510000228881836 item 5.802999973297119 item 6.510000228881836 name d0_fco: item 2.8909997940063477 item 2.799999952316284 item 2.8909997940063477 item 2.799999952316284 name fci_fco: item 0.30799999833106995 item 0.27300000190734863 item 0.30799999833106995 item 0.27300000190734863 name fci_f0: item 3.5 item 3.1499998569488525 item 3.5 item 3.1499998569488525 group sram: name rad0_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad1_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad2_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name rad3_do: item 1.2133333683013916 item 1.3124998807907104 item 1.2133333683013916 item 1.3124998807907104 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad0_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad0_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad1_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad1_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad2_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad2_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wad3_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wad3_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_do: item 2.304166555404663 item 2.25166654586792 item 2.304166555404663 item 2.25166654586792 group dff: name di_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clksetneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name di_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name di_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clksetpos: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clksteneg: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name ce_clkholdpos: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name ce_clkholdneg: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clksetpos_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_qpos: item 1.744166612625122 item 3.2724997997283936 item 1.744166612625122 item 3.2724997997283936 name clk_qneg: item 1.8199999332427979 item 3.37749981880188 item 1.8199999332427979 item 3.37749981880188 name lsr_q: item 8.452499389648438 item 11.089166641235352 item 8.452499389648438 item 11.089166641235352 name lsr_clksetpos_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clksetneg_asyn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name lsr_clkholdpos_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name lsr_clkholdneg_asyn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_clk: item 8.75 item 8.75 item 8.75 item 8.75 name lsr_lsr: item 17.5 item 17.5 item 17.5 item 17.5 group bram: name clka_doa: item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 item 1.1491665840148926 name clkb_dob: item 1.2366666793823242 item 1.347499966621399 item 1.2366666793823242 item 1.347499966621399 name clkb_do: item 2.0358333587646484 item 1.5458332300186157 item 2.0358333587646484 item 1.5458332300186157 name clk_do: item 1.6741666793823242 item 1.5399998426437378 item 1.6741666793823242 item 1.5399998426437378 name clka_reseta_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_ocea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_cea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_wrea_set: item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 item 4.809000015258789 name clka_dia_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ada_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_reseta_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_ocea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_cea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_wrea_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clka_dia_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_ada_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_blkset_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_ceb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_oce_setclkb_wreb_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_dib_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_adb_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_blkset_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_resetb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_ceb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_wreb_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clkb_dib_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_adb_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_oce_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_wre_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_ad_set: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_di_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_blksel_set: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_oce_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_reset_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_wre_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_ad_hold: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_di_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_blksel_hold: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clk_reset_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clka_reseta_hold_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_set_syn: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 name clkb_resetb_hold_syn: item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 item 0.26250001788139343 name clk_clk: item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 item 0.08749999105930328 group fanout: name X0Fan: item 1.434999942779541 item 1.933749794960022 item 1.434999942779541 item 1.933749794960022 name X1Fan: item 0.4287499785423279 item 2.06499981880188 item 0.4287499785423279 item 2.06499981880188 name SX1Fan: item 0.10499998927116394 item 0.44624999165534973 item 0.10499998927116394 item 0.44624999165534973 name X2Fan: item 1.2599999904632568 item 1.2424999475479126 item 1.2599999904632568 item 1.2424999475479126 name X8Fan: item 0.6212499737739563 item 0.9624999165534973 item 0.6212499737739563 item 0.9624999165534973 name FFan: item 0.51624995470047 item 1.4262499809265137 item 0.51624995470047 item 1.4262499809265137 name QFan: item 0.29750001430511475 item 0.8137500286102295 item 0.29750001430511475 item 0.8137500286102295 name OFFan: item 0.44624999165534973 item 0.9099999666213989 item 0.44624999165534973 item 0.9099999666213989 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 1.2826666831970215 item 1.308666706085205 item 1.2826666831970215 item 1.308666706085205 name PIO_CENT_PCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_PCLK: item 0.7979999780654907 item 0.7910000085830688 item 1.0850000381469727 item 1.0640000104904175 name SPINE_TAP_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name TAP_BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name BRANCH_PCLK: item 0.16099999845027924 item 0.18200001120567322 item 0.2029999941587448 item 0.24500000476837158 name CIB_PIC_INSIDE: item 0.6153333783149719 item 0.7279999852180481 item 0.6153333783149719 item 0.7279999852180481 name CIB_CENT_SCLK: item 1.3259999752044678 item 1.464666724205017 item 1.3259999752044678 item 1.464666724205017 name PIO_CENT_SCLK: item 1.308666706085205 item 1.3519999980926514 item 1.308666706085205 item 1.3519999980926514 name CENT_SPINE_SCLK: item 1.2869999408721924 item 1.3953334093093872 item 1.2869999408721924 item 1.3953334093093872 name SPINE_TAP_SCLK_0: item 1.0269999504089355 item 1.0183333158493042 item 1.0269999504089355 item 1.0183333158493042 name SPINE_TAP_SCLK_1: item 1.4083331823349 item 1.191666603088379 item 1.4083331823349 item 1.191666603088379 name TAP_BRANCH_SCLK: item 1.3996667861938477 item 1.3606666326522827 item 1.3996667861938477 item 1.3606666326522827 name BRANCH_SCLK: item 0.7323333621025085 item 0.784333348274231 item 0.7323333621025085 item 0.784333348274231 name GSRREC_SET: item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 item 0.476666659116745 name GSRREC_HLD: item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 item 0.4333333373069763 name GSR_MPW: item 31.486000061035156 item 31.529333114624023 item 31.486000061035156 item 31.529333114624023 group hclk: name HclkInMux: item 0.5180000066757202 item 0.5389999747276306 item 0.699999988079071 item 0.7210000157356262 name HclkHbrgMux: item 0.06299999356269836 item 0.06299999356269836 item 0.07699999958276749 item 0.07699999958276749 name HclkOutMux: item 0.06299999356269836 item 0.06299999356269836 item 0.09100000560283661 item 0.09100000560283661 name HclkDivMux: item 1.4070000648498535 item 1.3510000705718994 item 1.8270000219345093 item 1.7780001163482666 group iodelay: name GI_DO: item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 item 3.990000009536743 name SDTAP_DO: item 0.029999999329447746 item 0.4375 item 0.5249999761581421 item 0.4375 name SETN_DO: item 0.5249999761581421 item 0.7874999642372131 item 0.875 item 0.7874999642372131 name VALUE_DO: item 0.875 item 1.1374999284744263 item 1.225000023841858 item 1.1374999284744263 name SDTAP_DF: item 1.225000023841858 item 1.4874999523162842 item 1.5749999284744263 item 1.4874999523162842 name SETN_DF: item 1.5749999284744263 item 1.8374998569488525 item 1.9249998331069946 item 1.8374998569488525 name VALUE_DF: item 1.9249998331069946 item 2.1875 item 2.2749998569488525 item 2.1875 group wire: name X0: item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 item 1.9809999465942383 name FX1: item 2.6670000553131104 item 1.8199999332427979 item 2.6670000553131104 item 1.8199999332427979 name X2: item 2.1559998989105225 item 2.9049999713897705 item 2.1559998989105225 item 2.9049999713897705 name X8: item 2.5759999752044678 item 3.7870001792907715 item 2.5759999752044678 item 3.7870001792907715 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.966999888420105 item 2.072000026702881 item 2.6530001163482666 item 2.5759999752044678 name X0CLK: item 2.0859999656677246 item 2.0929999351501465 item 2.5199999809265137 item 2.618000030517578 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed 11: group lut: name a_f: item 4.090029716491699 item 4.306069850921631 item 4.090029716491699 item 4.306069850921631 name b_f: item 4.036019802093506 item 4.2864298820495605 item 4.036019802093506 item 4.2864298820495605 name c_f: item 3.378079891204834 item 3.343709945678711 item 3.378079891204834 item 3.343709945678711 name d_f: item 2.602299690246582 item 2.170219898223877 item 2.602299690246582 item 2.170219898223877 name a_ofx: item 4.6497697830200195 item 4.875629901885986 item 4.6497697830200195 item 4.875629901885986 name b_ofx: item 4.595759868621826 item 4.855989933013916 item 4.595759868621826 item 4.855989933013916 name c_ofx: item 3.9378201961517334 item 3.9132697582244873 item 3.9378201961517334 item 3.9132697582244873 name d_ofx: item 3.1620397567749023 item 2.7397797107696533 item 3.1620397567749023 item 2.7397797107696533 name m0_ofx0: item 2.0081899166107178 item 1.8461599349975586 item 2.0081899166107178 item 1.8461599349975586 name m1_ofx1: item 1.5908399820327759 item 1.9885499477386475 item 1.5908399820327759 item 3.461549758911133 name fx_ofx1: item 0.4713599979877472 item 0.5155499577522278 item 0.4713599979877472 item 0.5155499577522278 group alu: name a_f: item 4.119489669799805 item 4.276609897613525 item 4.119489669799805 item 4.276609897613525 name b_f: item 2.990190029144287 item 3.063839912414551 item 2.990190029144287 item 3.063839912414551 name d_f: item 2.9018099308013916 item 2.297879934310913 item 2.9018099308013916 item 2.297879934310913 name a0_fco: item 3.6874098777770996 item 4.085119724273682 item 3.6874098777770996 item 4.085119724273682 name b0_fco: item 4.070389747619629 item 4.566299915313721 item 4.070389747619629 item 4.566299915313721 name d0_fco: item 2.027829885482788 item 1.9639999866485596 item 2.027829885482788 item 1.9639999866485596 name fci_fco: item 0.2160399854183197 item 0.19148999452590942 item 0.2160399854183197 item 0.19148999452590942 name fci_f0: item 2.4549999237060547 item 2.2094998359680176 item 2.4549999237060547 item 2.2094998359680176 group sram: name rad0_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad1_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad2_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name rad3_do: item 0.8510666489601135 item 0.9206249117851257 item 0.8510666489601135 item 0.9206249117851257 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad0_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad0_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad1_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad1_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad2_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad2_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wad3_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wad3_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_do: item 1.6162081956863403 item 1.5793832540512085 item 1.6162081956863403 item 1.5793832540512085 group dff: name di_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clksetneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name di_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name di_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clksetpos: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clksteneg: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name ce_clkholdpos: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name ce_clkholdneg: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clksetpos_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_qpos: item 1.2234082221984863 item 2.295424699783325 item 1.2234082221984863 item 2.295424699783325 name clk_qneg: item 1.2765998840332031 item 2.369074821472168 item 1.2765998840332031 item 2.369074821472168 name lsr_q: item 5.9288249015808105 item 7.778257846832275 item 5.9288249015808105 item 7.778257846832275 name lsr_clksetpos_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clksetneg_asyn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name lsr_clkholdpos_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name lsr_clkholdneg_asyn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_clk: item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 item 6.137499809265137 name lsr_lsr: item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 item 12.274999618530273 group bram: name clka_doa: item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 item 0.8060582876205444 name clkb_dob: item 0.8674333095550537 item 0.9451749324798584 item 0.8674333095550537 item 0.9451749324798584 name clkb_do: item 1.4279916286468506 item 1.0842915773391724 item 1.4279916286468506 item 1.0842915773391724 name clk_do: item 1.1743083000183105 item 1.0801998376846313 item 1.1743083000183105 item 1.0801998376846313 name clka_reseta_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_ocea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_cea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_wrea_set: item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 item 3.3731698989868164 name clka_dia_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ada_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_reseta_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_ocea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_cea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_wrea_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clka_dia_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_ada_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_blkset_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_ceb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_oce_setclkb_wreb_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_dib_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_adb_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_blkset_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_resetb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_ceb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_wreb_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clkb_dib_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_adb_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_oce_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_wre_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_ad_set: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_di_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_blksel_set: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_oce_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_reset_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_wre_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_ad_hold: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_di_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_blksel_hold: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clk_reset_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clka_reseta_hold_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_set_syn: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 name clkb_resetb_hold_syn: item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 item 0.18412500619888306 name clk_clk: item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 item 0.06137499585747719 group fanout: name X0Fan: item 1.0065499544143677 item 1.3563873767852783 item 1.0065499544143677 item 1.3563873767852783 name X1Fan: item 0.3007374703884125 item 1.4484498500823975 item 0.3007374703884125 item 1.4484498500823975 name SX1Fan: item 0.07364999502897263 item 0.3130124807357788 item 0.07364999502897263 item 0.3130124807357788 name X2Fan: item 0.8837999105453491 item 0.8715249300003052 item 0.8837999105453491 item 0.8715249300003052 name X8Fan: item 0.4357624650001526 item 0.6751249432563782 item 0.4357624650001526 item 0.6751249432563782 name FFan: item 0.36211246252059937 item 1.0004124641418457 item 0.36211246252059937 item 1.0004124641418457 name QFan: item 0.20867499709129333 item 0.5707874894142151 item 0.20867499709129333 item 0.5707874894142151 name OFFan: item 0.3130124807357788 item 0.6382999420166016 item 0.3130124807357788 item 0.6382999420166016 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.8996990919113159 item 0.9179361462593079 item 0.8996990919113159 item 0.9179361462593079 name PIO_CENT_PCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_PCLK: item 0.5597400069236755 item 0.5548299551010132 item 0.7610499858856201 item 0.7463199496269226 name SPINE_TAP_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name TAP_BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name BRANCH_PCLK: item 0.11292999982833862 item 0.12765999138355255 item 0.14238999783992767 item 0.17184999585151672 name CIB_PIC_INSIDE: item 0.43161240220069885 item 0.5106399655342102 item 0.43161240220069885 item 0.5106399655342102 name CIB_CENT_SCLK: item 0.9300942420959473 item 1.0273590087890625 item 0.9300942420959473 item 1.0273590087890625 name PIO_CENT_SCLK: item 0.9179361462593079 item 0.9483314156532288 item 0.9179361462593079 item 0.9483314156532288 name CENT_SPINE_SCLK: item 0.9027384519577026 item 0.9787266850471497 item 0.9027384519577026 item 0.9787266850471497 name SPINE_TAP_SCLK_0: item 0.7203671336174011 item 0.714288055896759 item 0.7203671336174011 item 0.714288055896759 name SPINE_TAP_SCLK_1: item 0.9878451228141785 item 0.8358690142631531 item 0.9878451228141785 item 0.8358690142631531 name TAP_BRANCH_SCLK: item 0.9817662239074707 item 0.9544104337692261 item 0.9817662239074707 item 0.9544104337692261 name BRANCH_SCLK: item 0.5136795043945312 item 0.5501537919044495 item 0.5136795043945312 item 0.5501537919044495 name GSRREC_SET: item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 item 0.33434760570526123 name GSRREC_HLD: item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 item 0.3039523661136627 name GSR_MPW: item 22.085180282592773 item 22.11557388305664 item 22.085180282592773 item 22.11557388305664 group hclk: name HclkInMux: item 0.36333999037742615 item 0.37806999683380127 item 0.4909999966621399 item 0.5057299733161926 name HclkHbrgMux: item 0.044189997017383575 item 0.044189997017383575 item 0.054009996354579926 item 0.054009996354579926 name HclkOutMux: item 0.044189997017383575 item 0.044189997017383575 item 0.06382999569177628 item 0.06382999569177628 name HclkDivMux: item 0.9869099855422974 item 0.947629988193512 item 1.2815099954605103 item 1.2471400499343872 group iodelay: name GI_DO: item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 item 2.7986998558044434 name SDTAP_DO: item 0.029999999329447746 item 0.30687499046325684 item 0.36824995279312134 item 0.30687499046325684 name SETN_DO: item 0.36824995279312134 item 0.5523749589920044 item 0.6137499809265137 item 0.5523749589920044 name VALUE_DO: item 0.6137499809265137 item 0.797874927520752 item 0.8592499494552612 item 0.797874927520752 name SDTAP_DF: item 0.8592499494552612 item 1.0433748960494995 item 1.1047499179840088 item 1.0433748960494995 name SETN_DF: item 1.1047499179840088 item 1.288874864578247 item 1.3502498865127563 item 1.288874864578247 name VALUE_DF: item 1.3502498865127563 item 1.5343749523162842 item 1.595749855041504 item 1.5343749523162842 group wire: name X0: item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 item 1.3895299434661865 name FX1: item 1.870710015296936 item 1.2765998840332031 item 1.870710015296936 item 1.2765998840332031 name X2: item 1.512279987335205 item 2.0376498699188232 item 1.512279987335205 item 2.0376498699188232 name X8: item 1.806879997253418 item 2.6563098430633545 item 1.806879997253418 item 2.6563098430633545 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 1.3797099590301514 item 1.4533599615097046 item 1.8608900308609009 item 1.806879997253418 name X0CLK: item 1.4631799459457397 item 1.4680899381637573 item 1.7676000595092773 item 1.8363399505615234 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.5379660129547119 item 0.5424119830131531 item 0.7602660059928894 item 0.7647119760513306 name b_f: item 0.5364840030670166 item 0.5416709780693054 item 0.786201000213623 item 0.8143589496612549 name c_f: item 0.4119960069656372 item 0.4460819959640503 item 0.5942819714546204 item 0.6091020107269287 name d_f: item 0.28528499603271484 item 0.2756519913673401 item 0.46312499046325684 item 0.4638659954071045 name a_ofx: item 0.610584020614624 item 0.608361005783081 item 0.8714159727096558 item 0.875120997428894 name b_ofx: item 0.6091019511222839 item 0.6076200008392334 item 0.8973509669303894 item 0.9247680306434631 name c_ofx: item 0.48461398482322693 item 0.5120309591293335 item 0.7054319977760315 item 0.7195110321044922 name d_ofx: item 0.35790297389030457 item 0.34160101413726807 item 0.5742749571800232 item 0.5742749571800232 name m0_ofx0: item 0.24971701204776764 item 0.24749399721622467 item 0.3497520089149475 item 0.32381701469421387 name m1_ofx1: item 0.20377500355243683 item 0.24601198732852936 item 0.2875080108642578 item 0.37198197841644287 name fx_ofx1: item 0.04890599846839905 item 0.06965399533510208 item 0.13115699589252472 item 0.1207830011844635 group alu: name a_f: item 0.5364840030670166 item 0.5201820135116577 item 0.7365540266036987 item 0.7284029722213745 name b_f: item 0.39865797758102417 item 0.3830970227718353 item 0.5394479632377625 item 0.5209230184555054 name d_f: item 0.38606101274490356 item 0.291953980922699 item 0.5194410085678101 item 0.3993989825248718 name a0_fco: item 0.4408950209617615 item 0.5201820135116577 item 0.7083959579467773 item 0.7098780274391174 name b0_fco: item 0.4994340240955353 item 0.5253689885139465 item 0.7669349908828735 item 0.7743449807167053 name d0_fco: item 0.2237819880247116 item 0.24823500216007233 item 0.40755000710487366 item 0.3341909945011139 name fci_fco: item 0.022970998659729958 item 0.02519400045275688 item 0.04001400247216225 item 0.04223699867725372 name fci_f0: item 0.3571619987487793 item 0.31566599011421204 item 0.3912479877471924 item 0.4171830117702484 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.20809750258922577 item 0.1920424997806549 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2908424735069275 item 0.2896074950695038 group dff: name di_clksetpos: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clksetneg: item 0.18524999916553497 item 0.18524999916553497 item 0.2963999807834625 item 0.2963999807834625 name di_clkholdpos: item 0.0 item 0.0 item 0.0 item 0.0 name di_clkholdneg: item 0.0 item 0.0 item 0.0 item 0.0 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_qpos: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name clk_qneg: item 0.24699997901916504 item 0.24699997901916504 item 0.33962497115135193 item 0.33962497115135193 name lsr_q: item 0.6483749747276306 item 0.9262499809265137 item 1.2683448791503906 item 1.3782600164413452 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_dob: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clkb_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clk_do: item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 item 0.612807035446167 name clka_reseta_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_ocea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_cea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_wrea_set: item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 item 2.5638599395751953 name clka_dia_set: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ada_set: item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 item 0.12893399596214294 name clka_blksel_set: item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 item 0.06594900041818619 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clka_dia_hold: item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 item 0.26009100675582886 name clka_di_hold: item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 item 0.09707099944353104 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_oceb_set: item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 item 0.06372600048780441 name clkb_ceb_set: item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 item 0.05557500198483467 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_dib_set: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_blkset_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_adb_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_ce_set: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_oce_set: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_wre_set: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_di_set: item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 item 0.1385670006275177 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ce_hold: item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 item 0.15190500020980835 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clk_blksel_hold: item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 item 0.11040899902582169 name clk_reset_set_syn: item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 item 0.016302000731229782 name clk_reset_hold_syn: item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 item 0.03482699766755104 name clka_reseta_set_syn: item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 item 0.11411400139331818 name clka_reseta_hold_syn: item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 item 0.11855999380350113 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.03210999816656113 item 0.03210999816656113 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.0111149987205863 item 0.0111149987205863 group fanout: name X0Fan: item 0.10127000510692596 item 0.13646748661994934 item 0.11979499459266663 item 0.15993249416351318 name X1Fan: item 0.03025749884545803 item 0.14572998881340027 item 0.08212749660015106 item 0.23465000092983246 name SX1Fan: item 0.007409999612718821 item 0.03149249777197838 item 0.054957497864961624 item 0.07162999361753464 name X2Fan: item 0.0889199897646904 item 0.0876849964261055 item 0.10867999494075775 item 0.1080624982714653 name X8Fan: item 0.04384249821305275 item 0.06792499870061874 item 0.05310500040650368 item 0.09509499371051788 name FFan: item 0.03643249720335007 item 0.10065249353647232 item 0.0444599948823452 item 0.1259699910879135 name QFan: item 0.0209950003772974 item 0.05742749944329262 item 0.027787500992417336 item 0.07286499440670013 name OFFan: item 0.03149249777197838 item 0.06421999633312225 item 0.04631249979138374 item 0.07842249423265457 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_PCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.05261100083589554 item 0.0622439980506897 item 0.0674309954047203 item 0.07558199763298035 name CIB_CENT_SCLK: item 0.12226500362157822 item 0.11040899902582169 item 0.15857400000095367 item 0.14968200027942657 name PIO_CENT_SCLK: item 0.1207830011844635 item 0.10744499415159225 item 0.15560999512672424 item 0.1459769904613495 name CENT_SPINE_SCLK: item 0.5320379734039307 item 0.5312970280647278 item 0.7180289626121521 item 0.7291439771652222 name SPINE_TAP_SCLK_0: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name SPINE_TAP_SCLK_1: item 0.21637199819087982 item 0.21933600306510925 item 0.3008459806442261 item 0.30677399039268494 name TAP_BRANCH_SCLK: item 0.05112899839878082 item 0.05483400076627731 item 0.06965399533510208 item 0.07558199763298035 name BRANCH_SCLK: item 0.04223699867725372 item 0.041496001183986664 item 0.059279996901750565 item 0.058539003133773804 name GSRREC_SET: item 0.040754999965429306 item 0.040754999965429306 item 0.04890599846839905 item 0.04890599846839905 name GSRREC_HLD: item 0.037050001323223114 item 0.037050001323223114 item 0.044460002332925797 item 0.044460002332925797 name GSR_MPW: item 2.6920528411865234 item 2.695758104324341 item 3.230463743209839 item 3.234909772872925 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.07132124900817871 item 0.08150999248027802 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.11207624524831772 item 0.12226499617099762 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.15283124148845673 item 0.16301998496055603 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.19358624517917633 item 0.20377500355243683 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.23434126377105713 item 0.24452999234199524 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.27509623765945435 item 0.28528499603271484 item 0.23156249523162842 group wire: name X0: item 0.16968899965286255 item 0.18006299436092377 item 0.24230699241161346 item 0.2378610074520111 name FX1: item 0.22526399791240692 item 0.16376100480556488 item 0.3060329854488373 item 0.22452300786972046 name X2: item 0.19265998899936676 item 0.26009100675582886 item 0.26898300647735596 item 0.355679988861084 name X8: item 0.20747999846935272 item 0.30825600028038025 item 0.305292010307312 item 0.4764629900455475 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.6172530055046082 item 0.6498569846153259 item 0.6172530055046082 item 0.6498569846153259 name b_f: item 0.6091020107269287 item 0.6468930244445801 item 0.6091020107269287 item 0.6468930244445801 name c_f: item 0.5098080039024353 item 0.5046209692955017 item 0.5098080039024353 item 0.5046209692955017 name d_f: item 0.3927299678325653 item 0.32752200961112976 item 0.3927299678325653 item 0.32752200961112976 name a_ofx: item 0.701727032661438 item 0.7358129620552063 item 0.701727032661438 item 0.7358129620552063 name b_ofx: item 0.6935760378837585 item 0.7328490018844604 item 0.6935760378837585 item 0.7328490018844604 name c_ofx: item 0.5942820310592651 item 0.5905770063400269 item 0.5942820310592651 item 0.5905770063400269 name d_ofx: item 0.47720399498939514 item 0.41347798705101013 item 0.47720399498939514 item 0.41347798705101013 name m0_ofx0: item 0.30306899547576904 item 0.2786159813404083 item 0.30306899547576904 item 0.2786159813404083 name m1_ofx1: item 0.2400839924812317 item 0.3001050055027008 item 0.2400839924812317 item 0.5224049687385559 name fx_ofx1: item 0.0711359977722168 item 0.07780499756336212 item 0.0711359977722168 item 0.07780499756336212 group alu: name a_f: item 0.6216989755630493 item 0.6454110145568848 item 0.6216989755630493 item 0.6454110145568848 name b_f: item 0.4512690305709839 item 0.46238401532173157 item 0.4512690305709839 item 0.46238401532173157 name d_f: item 0.43793100118637085 item 0.3467879891395569 item 0.43793100118637085 item 0.3467879891395569 name a0_fco: item 0.5564910173416138 item 0.6165120005607605 item 0.5564910173416138 item 0.6165120005607605 name b0_fco: item 0.6142889857292175 item 0.6891300082206726 item 0.6142889857292175 item 0.6891300082206726 name d0_fco: item 0.3060329854488373 item 0.2964000105857849 item 0.3060329854488373 item 0.2964000105857849 name fci_fco: item 0.032604001462459564 item 0.028899000957608223 item 0.032604001462459564 item 0.028899000957608223 name fci_f0: item 0.37049999833106995 item 0.33344998955726624 item 0.37049999833106995 item 0.33344998955726624 group sram: name rad0_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad1_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad2_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name rad3_do: item 0.1284399926662445 item 0.13893748819828033 item 0.1284399926662445 item 0.13893748819828033 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad0_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad0_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad1_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad1_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad2_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad2_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wad3_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wad3_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_do: item 0.2439124882221222 item 0.23835499584674835 item 0.2439124882221222 item 0.23835499584674835 group dff: name di_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clksetneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name di_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name di_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clksetpos: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clksteneg: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name ce_clkholdpos: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name ce_clkholdneg: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clksetpos_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_qpos: item 0.18463249504566193 item 0.34641748666763306 item 0.18463249504566193 item 0.34641748666763306 name clk_qneg: item 0.19265998899936676 item 0.3575325012207031 item 0.19265998899936676 item 0.3575325012207031 name lsr_q: item 0.8947575092315674 item 1.1738674640655518 item 0.8947575092315674 item 1.1738674640655518 name lsr_clksetpos_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clksetneg_asyn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name lsr_clkholdpos_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name lsr_clkholdneg_asyn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_clk: item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 item 0.9262499809265137 name lsr_lsr: item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 item 1.8524999618530273 group bram: name clka_doa: item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 item 0.12164749205112457 name clkb_dob: item 0.1309099942445755 item 0.14264249801635742 item 0.1309099942445755 item 0.14264249801635742 name clkb_do: item 0.21550749242305756 item 0.16363748908042908 item 0.21550749242305756 item 0.16363748908042908 name clk_do: item 0.17722249031066895 item 0.16301998496055603 item 0.17722249031066895 item 0.16301998496055603 name clka_reseta_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_ocea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_cea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_wrea_set: item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 item 0.5090669989585876 name clka_dia_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ada_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_reseta_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_ocea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_cea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_wrea_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clka_dia_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_ada_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_blkset_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_ceb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_oce_setclkb_wreb_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_dib_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_adb_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_blkset_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_resetb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_ceb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_wreb_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clkb_dib_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_adb_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_oce_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_wre_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_ad_set: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_di_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_blksel_set: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_oce_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_reset_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_wre_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_ad_hold: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_di_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_blksel_hold: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clk_reset_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clka_reseta_hold_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_set_syn: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 name clkb_resetb_hold_syn: item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 item 0.027787500992417336 name clk_clk: item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 item 0.009262499399483204 group fanout: name X0Fan: item 0.15190500020980835 item 0.204701229929924 item 0.15190500020980835 item 0.204701229929924 name X1Fan: item 0.04538624733686447 item 0.2185949832201004 item 0.04538624733686447 item 0.2185949832201004 name SX1Fan: item 0.0111149987205863 item 0.04723874852061272 item 0.0111149987205863 item 0.04723874852061272 name X2Fan: item 0.1333799958229065 item 0.13152749836444855 item 0.1333799958229065 item 0.13152749836444855 name X8Fan: item 0.06576374918222427 item 0.10188749432563782 item 0.06576374918222427 item 0.10188749432563782 name FFan: item 0.0546487458050251 item 0.15097874402999878 item 0.0546487458050251 item 0.15097874402999878 name QFan: item 0.03149250149726868 item 0.08614125102758408 item 0.03149250149726868 item 0.08614125102758408 name OFFan: item 0.04723874852061272 item 0.09632999449968338 item 0.04723874852061272 item 0.09632999449968338 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13577944040298462 item 0.13853171467781067 item 0.13577944040298462 item 0.13853171467781067 name PIO_CENT_PCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_PCLK: item 0.08447399735450745 item 0.08373299986124039 item 0.11485499888658524 item 0.11263199895620346 name SPINE_TAP_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name TAP_BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name BRANCH_PCLK: item 0.01704300008714199 item 0.019266000017523766 item 0.02148899994790554 item 0.02593499980866909 name CIB_PIC_INSIDE: item 0.06513743102550507 item 0.07706399261951447 item 0.06513743102550507 item 0.07706399261951447 name CIB_CENT_SCLK: item 0.1403665691614151 item 0.15504543483257294 item 0.1403665691614151 item 0.15504543483257294 name PIO_CENT_SCLK: item 0.13853171467781067 item 0.14311885833740234 item 0.13853171467781067 item 0.14311885833740234 name CENT_SPINE_SCLK: item 0.13623812794685364 item 0.14770600199699402 item 0.13623812794685364 item 0.14770600199699402 name SPINE_TAP_SCLK_0: item 0.10871528834104538 item 0.10779786109924316 item 0.10871528834104538 item 0.10779786109924316 name SPINE_TAP_SCLK_1: item 0.14908212423324585 item 0.12614642083644867 item 0.14908212423324585 item 0.12614642083644867 name TAP_BRANCH_SCLK: item 0.14816471934318542 item 0.14403629302978516 item 0.14816471934318542 item 0.14403629302978516 name BRANCH_SCLK: item 0.07752271741628647 item 0.08302728831768036 item 0.07752271741628647 item 0.08302728831768036 name GSRREC_SET: item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 item 0.05045856907963753 name GSRREC_HLD: item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 item 0.04587142914533615 name GSR_MPW: item 3.3330180644989014 item 3.3376049995422363 item 3.3330180644989014 item 3.3376049995422363 group hclk: name HclkInMux: item 0.05483400076627731 item 0.05705700069665909 item 0.07410000264644623 item 0.076323002576828 name HclkHbrgMux: item 0.006668999791145325 item 0.006668999791145325 item 0.008151000365614891 item 0.008151000365614891 name HclkOutMux: item 0.006668999791145325 item 0.006668999791145325 item 0.009633000008761883 item 0.009633000008761883 name HclkDivMux: item 0.1489410102367401 item 0.14301300048828125 item 0.1934010088443756 item 0.1882140040397644 group iodelay: name GI_DO: item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 item 0.42236998677253723 name SDTAP_DO: item 0.029999999329447746 item 0.04631249979138374 item 0.05557499825954437 item 0.04631249979138374 name SETN_DO: item 0.05557499825954437 item 0.08336249738931656 item 0.09262499958276749 item 0.08336249738931656 name VALUE_DO: item 0.09262499958276749 item 0.12041249871253967 item 0.1296750009059906 item 0.12041249871253967 name SDTAP_DF: item 0.1296750009059906 item 0.1574624925851822 item 0.16672499477863312 item 0.1574624925851822 name SETN_DF: item 0.16672499477863312 item 0.1945124864578247 item 0.20377498865127563 item 0.1945124864578247 name VALUE_DF: item 0.20377498865127563 item 0.23156249523162842 item 0.24082499742507935 item 0.23156249523162842 group wire: name X0: item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 item 0.2097029983997345 name FX1: item 0.2823210060596466 item 0.19265998899936676 item 0.2823210060596466 item 0.19265998899936676 name X2: item 0.22822800278663635 item 0.3075149953365326 item 0.22822800278663635 item 0.3075149953365326 name X8: item 0.27268800139427185 item 0.40088099241256714 item 0.27268800139427185 item 0.40088099241256714 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.20822098851203918 item 0.21933600306510925 item 0.2808389961719513 item 0.27268800139427185 name X0CLK: item 0.22081799805164337 item 0.22155898809432983 item 0.2667600214481354 item 0.2771340012550354 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 760 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW1NS-4.bin [ 5%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18 -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba [ 5%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 6%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc device GW2A-18: speed C8/I7: group lut: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name c_f: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a_ofx: item 0.4440000057220459 item 0.3960000276565552 item 0.6540000438690186 item 0.6200000047683716 name b_ofx: item 0.45100000500679016 item 0.41600000858306885 item 0.675000011920929 item 0.6579999923706055 name c_ofx: item 0.3700000047683716 item 0.34200000762939453 item 0.5670000314712524 item 0.5559999942779541 name d_ofx: item 0.29499998688697815 item 0.2839999794960022 item 0.43199998140335083 item 0.4739999771118164 name m0_ofx0: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name m1_ofx1: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name fx_ofx1: item 0.05999999865889549 item 0.052000001072883606 item 0.10499999672174454 item 0.10300000011920929 group alu: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a0_fco: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b0_fco: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d0_fco: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name fci_fco: item 0.020999999716877937 item 0.02199999988079071 item 0.035100001841783524 item 0.03519999980926514 name fci_f0: item 0.23499999940395355 item 0.23100000619888306 item 0.4259999990463257 item 0.4699999988079071 group sram: name rad0_do: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name rad1_do: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name rad2_do: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name rad3_do: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12099999934434891 item 0.10700000077486038 item 0.13699999451637268 item 0.1420000046491623 name FX1: item 0.13600000739097595 item 0.11299999803304672 item 0.1679999977350235 item 0.15700000524520874 name X2: item 0.11900000274181366 item 0.1459999978542328 item 0.18000000715255737 item 0.2370000034570694 name X8: item 0.1469999998807907 item 0.18299999833106995 item 0.21299999952316284 item 0.26600000262260437 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C8/I7_LV: group lut: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name c_f: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a_ofx: item 0.5049999952316284 item 0.47099998593330383 item 0.5049999952316284 item 0.47099998593330383 name b_ofx: item 0.515999972820282 item 0.49300000071525574 item 0.515999972820282 item 0.49300000071525574 name c_ofx: item 0.4230000078678131 item 0.4099999964237213 item 0.4230000078678131 item 0.4099999964237213 name d_ofx: item 0.3319999873638153 item 0.3409999907016754 item 0.3319999873638153 item 0.3409999907016754 name m0_ofx0: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name m1_ofx1: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name fx_ofx1: item 0.21299999952316284 item 0.19900000095367432 item 0.21299999952316284 item 0.19900000095367432 group alu: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a0_fco: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b0_fco: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d0_fco: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name fci_fco: item 0.023000000044703484 item 0.024000000208616257 item 0.023000000044703484 item 0.024000000208616257 name fci_f0: item 0.2709999978542328 item 0.27399998903274536 item 0.2709999978542328 item 0.27399998903274536 group sram: name rad0_do: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name rad1_do: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name rad2_do: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name rad3_do: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12800000607967377 item 0.11800000071525574 item 0.12800000607967377 item 0.11800000071525574 name FX1: item 0.14900000393390656 item 0.12800000607967377 item 0.14900000393390656 item 0.12800000607967377 name X2: item 0.16200000047683716 item 0.18799999356269836 item 0.16200000047683716 item 0.18799999356269836 name X8: item 0.1720000058412552 item 0.21400000154972076 item 0.1720000058412552 item 0.21400000154972076 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name c_f: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a_ofx: item 0.5550000071525574 item 0.49500003457069397 item 0.8175000548362732 item 0.7749999761581421 name b_ofx: item 0.5637500286102295 item 0.5199999809265137 item 0.84375 item 0.8224999904632568 name c_ofx: item 0.4625000059604645 item 0.42750000953674316 item 0.7087500095367432 item 0.6949999928474426 name d_ofx: item 0.3687499761581421 item 0.35499995946884155 item 0.5399999618530273 item 0.5924999713897705 name m0_ofx0: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name m1_ofx1: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name fx_ofx1: item 0.07499999552965164 item 0.06499999761581421 item 0.13124999403953552 item 0.1287499964237213 group alu: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a0_fco: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b0_fco: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d0_fco: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name fci_fco: item 0.026249999180436134 item 0.027499999850988388 item 0.04387500137090683 item 0.04399999976158142 name fci_f0: item 0.29374998807907104 item 0.2887499928474426 item 0.5325000286102295 item 0.5874999761581421 group sram: name rad0_do: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name rad1_do: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name rad2_do: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name rad3_do: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666805744171 item 0.3216666579246521 item 0.39249998331069946 item 0.3908333480358124 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15125000476837158 item 0.13375000655651093 item 0.17124998569488525 item 0.17750000953674316 name FX1: item 0.17000001668930054 item 0.14124999940395355 item 0.20999999344348907 item 0.19625000655651093 name X2: item 0.14875000715255737 item 0.18250000476837158 item 0.22500000894069672 item 0.29625001549720764 name X8: item 0.1837500035762787 item 0.22874999046325684 item 0.26625001430511475 item 0.33250001072883606 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.16583332419395447 item 0.16750000417232513 item 0.17249999940395355 item 0.17750000953674316 name X0CLK: item 0.17916665971279144 item 0.18416666984558105 item 0.2083333432674408 item 0.21416665613651276 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name c_f: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a_ofx: item 0.6060000061988831 item 0.5652000308036804 item 0.6060000061988831 item 0.5652000308036804 name b_ofx: item 0.6191999912261963 item 0.5916000008583069 item 0.6191999912261963 item 0.5916000008583069 name c_ofx: item 0.5076000094413757 item 0.492000013589859 item 0.5076000094413757 item 0.492000013589859 name d_ofx: item 0.3984000086784363 item 0.4092000126838684 item 0.3984000086784363 item 0.4092000126838684 name m0_ofx0: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name m1_ofx1: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name fx_ofx1: item 0.2556000053882599 item 0.23880000412464142 item 0.2556000053882599 item 0.23880000412464142 group alu: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a0_fco: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b0_fco: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d0_fco: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name fci_fco: item 0.0276000015437603 item 0.028800001367926598 item 0.0276000015437603 item 0.028800001367926598 name fci_f0: item 0.32520002126693726 item 0.3287999927997589 item 0.32520002126693726 item 0.3287999927997589 group sram: name rad0_do: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name rad1_do: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name rad2_do: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name rad3_do: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name clk_di_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_di_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wre_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wre_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad0_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad0_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad1_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad1_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad2_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad2_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad3_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad3_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_do: item 0.3160000443458557 item 0.30880001187324524 item 0.376800000667572 item 0.3752000331878662 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15360000729560852 item 0.14160001277923584 item 0.15360000729560852 item 0.14160001277923584 name FX1: item 0.17880001664161682 item 0.15360000729560852 item 0.17880001664161682 item 0.15360000729560852 name X2: item 0.19440001249313354 item 0.225600004196167 item 0.19440001249313354 item 0.225600004196167 name X8: item 0.20640002191066742 item 0.2568000257015228 item 0.20640002191066742 item 0.2568000257015228 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.15919999778270721 item 0.1608000099658966 item 0.1656000018119812 item 0.170400008559227 name X0CLK: item 0.1720000058412552 item 0.17680001258850098 item 0.20000001788139343 item 0.20560000836849213 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6: group lut: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name c_f: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a_ofx: item 0.6393600106239319 item 0.5702400803565979 item 0.9417601227760315 item 0.8928000330924988 name b_ofx: item 0.6494400501251221 item 0.5990400314331055 item 0.9720000624656677 item 0.9475200176239014 name c_ofx: item 0.532800018787384 item 0.4924800395965576 item 0.8164801001548767 item 0.8006400465965271 name d_ofx: item 0.42480000853538513 item 0.4089599847793579 item 0.6220799684524536 item 0.6825599670410156 name m0_ofx0: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name m1_ofx1: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name fx_ofx1: item 0.08640000224113464 item 0.07488000392913818 item 0.15119999647140503 item 0.1483200043439865 group alu: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a0_fco: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b0_fco: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d0_fco: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name fci_fco: item 0.030240001156926155 item 0.03168000280857086 item 0.05054400488734245 item 0.0506880022585392 name fci_f0: item 0.3384000062942505 item 0.33264002203941345 item 0.6134400367736816 item 0.676800012588501 group sram: name rad0_do: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name rad1_do: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name rad2_do: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name rad3_do: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.17424000799655914 item 0.15408000349998474 item 0.19728000462055206 item 0.20448002219200134 name FX1: item 0.1958400160074234 item 0.16272000968456268 item 0.24192000925540924 item 0.2260800153017044 name X2: item 0.17136001586914062 item 0.21024000644683838 item 0.25920000672340393 item 0.3412800133228302 name X8: item 0.21168000996112823 item 0.2635200023651123 item 0.3067200183868408 item 0.38304001092910767 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6_LV: group lut: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name c_f: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a_ofx: item 0.7272000312805176 item 0.6782400012016296 item 0.7272000312805176 item 0.6782400012016296 name b_ofx: item 0.7430399656295776 item 0.7099200487136841 item 0.7430399656295776 item 0.7099200487136841 name c_ofx: item 0.6091200113296509 item 0.5904000401496887 item 0.6091200113296509 item 0.5904000401496887 name d_ofx: item 0.47808000445365906 item 0.4910399913787842 item 0.47808000445365906 item 0.4910399913787842 name m0_ofx0: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name m1_ofx1: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name fx_ofx1: item 0.3067200183868408 item 0.2865599989891052 item 0.3067200183868408 item 0.2865599989891052 group alu: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a0_fco: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b0_fco: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d0_fco: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name fci_fco: item 0.03312000259757042 item 0.03456000238656998 item 0.03312000259757042 item 0.03456000238656998 name fci_f0: item 0.39024001359939575 item 0.3945600092411041 item 0.39024001359939575 item 0.3945600092411041 group sram: name rad0_do: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name rad1_do: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name rad2_do: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name rad3_do: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.18432001769542694 item 0.16992001235485077 item 0.18432001769542694 item 0.16992001235485077 name FX1: item 0.21456001698970795 item 0.18432001769542694 item 0.21456001698970795 item 0.18432001769542694 name X2: item 0.2332800030708313 item 0.2707200050354004 item 0.2332800030708313 item 0.2707200050354004 name X8: item 0.24768002331256866 item 0.3081600069999695 item 0.24768002331256866 item 0.3081600069999695 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8: group lut: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name c_f: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a_ofx: item 0.3827280104160309 item 0.34135201573371887 item 0.5637480020523071 item 0.5344399809837341 name b_ofx: item 0.3887619972229004 item 0.3585920035839081 item 0.581849992275238 item 0.5671960115432739 name c_ofx: item 0.31894001364707947 item 0.29480400681495667 item 0.4887540340423584 item 0.4792719781398773 name d_ofx: item 0.25428998470306396 item 0.24480797350406647 item 0.3723839819431305 item 0.4085879623889923 name m0_ofx0: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name m1_ofx1: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name fx_ofx1: item 0.05171999707818031 item 0.04482400044798851 item 0.09050999581813812 item 0.08878599852323532 group alu: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a0_fco: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b0_fco: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d0_fco: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name fci_fco: item 0.018101999536156654 item 0.018964000046253204 item 0.030256200581789017 item 0.030342400074005127 name fci_f0: item 0.20256999135017395 item 0.19912199676036835 item 0.3672119975090027 item 0.4051399827003479 group sram: name rad0_do: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name rad1_do: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name rad2_do: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name rad3_do: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name clk_di_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wre_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad0_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad0_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad1_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad1_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad2_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad2_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad3_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad3_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_do: item 0.2269933521747589 item 0.22182133793830872 item 0.2706679701805115 item 0.2695186734199524 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.10430199652910233 item 0.09223400056362152 item 0.11809399724006653 item 0.12240400165319443 name FX1: item 0.11723200231790543 item 0.09740599989891052 item 0.14481599628925323 item 0.13533399999141693 name X2: item 0.10257799923419952 item 0.12585200369358063 item 0.15516000986099243 item 0.20429399609565735 name X8: item 0.12671399116516113 item 0.15774600207805634 item 0.18360599875450134 item 0.22929200530052185 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11435866355895996 item 0.11550800502300262 item 0.11895599216222763 item 0.12240400165319443 name X0CLK: item 0.1235533282160759 item 0.1270013302564621 item 0.14366666972637177 item 0.1476893275976181 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8_LV: group lut: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name c_f: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a_ofx: item 0.4343000054359436 item 0.4050599932670593 item 0.4343000054359436 item 0.4050599932670593 name b_ofx: item 0.4437599778175354 item 0.4239799976348877 item 0.4437599778175354 item 0.4239799976348877 name c_ofx: item 0.36378002166748047 item 0.35260000824928284 item 0.36378002166748047 item 0.35260000824928284 name d_ofx: item 0.2855199873447418 item 0.29326000809669495 item 0.2855199873447418 item 0.29326000809669495 name m0_ofx0: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name m1_ofx1: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name fx_ofx1: item 0.18318000435829163 item 0.17114000022411346 item 0.18318000435829163 item 0.17114000022411346 group alu: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a0_fco: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b0_fco: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d0_fco: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name fci_fco: item 0.019780000671744347 item 0.020640000700950623 item 0.019780000671744347 item 0.020640000700950623 name fci_f0: item 0.23306000232696533 item 0.23563998937606812 item 0.23306000232696533 item 0.23563998937606812 group sram: name rad0_do: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name rad1_do: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name rad2_do: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name rad3_do: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name clk_di_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_di_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wre_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wre_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad0_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad0_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad1_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad1_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad2_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad2_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad3_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad3_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_do: item 0.22646668553352356 item 0.2213066816329956 item 0.27003997564315796 item 0.26889336109161377 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526[ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 7%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.11008000373840332 item 0.10147999972105026 item 0.11008000373840332 item 0.10147999972105026 name FX1: item 0.12814000248908997 item 0.11008000373840332 item 0.12814000248908997 item 0.11008000373840332 name X2: item 0.1393200010061264 item 0.16167999804019928 item 0.1393200010061264 item 0.16167999804019928 name X8: item 0.14792001247406006 item 0.18404000997543335 item 0.14792001247406006 item 0.18404000997543335 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11409333348274231 item 0.11524000763893127 item 0.11868000030517578 item 0.12212000787258148 name X0CLK: item 0.12326666712760925 item 0.12670667469501495 item 0.1433333456516266 item 0.14734666049480438 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18.bin [ 9%] Generating ../../../share/himbaechel/gowin/chipdb-GW2A-18C.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/python3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_arch_gen.py -d GW2A-18C -o /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba [ 10%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 10%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc device GW2A-18C: speed C8/I7: group lut: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name c_f: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a_ofx: item 0.4440000057220459 item 0.3960000276565552 item 0.6540000438690186 item 0.6200000047683716 name b_ofx: item 0.45100000500679016 item 0.41600000858306885 item 0.675000011920929 item 0.6579999923706055 name c_ofx: item 0.3700000047683716 item 0.34200000762939453 item 0.5670000314712524 item 0.5559999942779541 name d_ofx: item 0.29499998688697815 item 0.2839999794960022 item 0.43199998140335083 item 0.4739999771118164 name m0_ofx0: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name m1_ofx1: item 0.1889999955892563 item 0.17599999904632568 item 0.26899999380111694 item 0.25099998712539673 name fx_ofx1: item 0.05999999865889549 item 0.052000001072883606 item 0.10499999672174454 item 0.10300000011920929 group alu: name a_f: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b_f: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d_f: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name a0_fco: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name b0_fco: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name d0_fco: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name fci_fco: item 0.020999999716877937 item 0.02199999988079071 item 0.035100001841783524 item 0.03519999980926514 name fci_f0: item 0.23499999940395355 item 0.23100000619888306 item 0.4259999990463257 item 0.4699999988079071 group sram: name rad0_do: item 0.3840000033378601 item 0.3440000116825104 item 0.5490000247955322 item 0.5170000195503235 name rad1_do: item 0.39100000262260437 item 0.36399999260902405 item 0.5699999928474426 item 0.5550000071525574 name rad2_do: item 0.3100000023841858 item 0.28999999165534973 item 0.4620000123977661 item 0.453000009059906 name rad3_do: item 0.23499999940395355 item 0.23199999332427979 item 0.3269999921321869 item 0.3709999918937683 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12099999934434891 item 0.10700000077486038 item 0.13699999451637268 item 0.1420000046491623 name FX1: item 0.13600000739097595 item 0.11299999803304672 item 0.1679999977350235 item 0.15700000524520874 name X2: item 0.11900000274181366 item 0.1459999978542328 item 0.18000000715255737 item 0.2370000034570694 name X8: item 0.1469999998807907 item 0.18299999833106995 item 0.21299999952316284 item 0.26600000262260437 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C8/I7_LV: group lut: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name c_f: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a_ofx: item 0.5049999952316284 item 0.47099998593330383 item 0.5049999952316284 item 0.47099998593330383 name b_ofx: item 0.515999972820282 item 0.49300000071525574 item 0.515999972820282 item 0.49300000071525574 name c_ofx: item 0.4230000078678131 item 0.4099999964237213 item 0.4230000078678131 item 0.4099999964237213 name d_ofx: item 0.3319999873638153 item 0.3409999907016754 item 0.3319999873638153 item 0.3409999907016754 name m0_ofx0: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name m1_ofx1: item 0.07599999755620956 item 0.07400000095367432 item 0.07599999755620956 item 0.07400000095367432 name fx_ofx1: item 0.21299999952316284 item 0.19900000095367432 item 0.21299999952316284 item 0.19900000095367432 group alu: name a_f: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b_f: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d_f: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name a0_fco: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name b0_fco: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name d0_fco: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name fci_fco: item 0.023000000044703484 item 0.024000000208616257 item 0.023000000044703484 item 0.024000000208616257 name fci_f0: item 0.2709999978542328 item 0.27399998903274536 item 0.2709999978542328 item 0.27399998903274536 group sram: name rad0_do: item 0.42899999022483826 item 0.3970000147819519 item 0.42899999022483826 item 0.3970000147819519 name rad1_do: item 0.4399999976158142 item 0.4189999997615814 item 0.4399999976158142 item 0.4189999997615814 name rad2_do: item 0.34700000286102295 item 0.335999995470047 item 0.34700000286102295 item 0.335999995470047 name rad3_do: item 0.25600001215934753 item 0.2669999897480011 item 0.25600001215934753 item 0.2669999897480011 name clk_di_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wre_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad0_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad0_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad1_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad1_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad2_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad2_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_wad3_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wad3_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_do: item 0.26333335041999817 item 0.25733333826065063 item 0.3139999806880951 item 0.3126666843891144 group dff: name di_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name di_clksetneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name di_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clksetpos: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clksteneg: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name ce_clkholdpos: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name ce_clkholdneg: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clksetpos_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_syn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_syn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_qpos: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name clk_qneg: item 0.20200000703334808 item 0.20100000500679016 item 0.23100000619888306 item 0.23199999332427979 name lsr_q: item 1.097000002861023 item 1.0750000476837158 item 1.1480000019073486 item 1.1319999694824219 name lsr_clksetpos_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clksetneg_asyn: item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 item 0.03500000014901161 name lsr_clkholdpos_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name lsr_clkholdneg_asyn: item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 item 0.010999999940395355 name clk_clk: item 1.0 item 1.0 item 1.0 item 1.0 name lsr_lsr: item 3.0 item 3.0 item 3.0 item 3.0 group bram: name clka_doa: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_dob: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clkb_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clk_do: item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 item 0.3070000112056732 name clka_reseta_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_ocea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_cea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_wrea_set: item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 item 2.259999990463257 name clka_dia_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clka_di_set: item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 item 0.09600000083446503 name clka_ada_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clka_blksel_set: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_reseta_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_ocea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_cea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_wrea_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clka_dia_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_ada_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clka_blkset_hold: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clkb_resetb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_oceb_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_ceb_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clkb_oce_setclkb_wreb_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_dib_set: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clkb_adb_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_blkset_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_resetb_hold: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clkb_oceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_ceb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_wreb_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clkb_dib_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_adb_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_ce_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_oce_set: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clk_reset_set: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clk_wre_set: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clk_ad_set: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_di_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_blksel_set: item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 item 0.08699999749660492 name clk_ce_hold: item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 item 0.43799999356269836 name clk_oce_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_reset_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_wre_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_ad_hold: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_di_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_blksel_hold: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clk_reset_hold_syn: item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 item 0.14100000262260437 name clka_reseta_set_syn: item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 item 0.11800000071525574 name clka_reseta_hold_syn: item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 item 0.24899999797344208 name clkb_resetb_set_syn: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 name clkb_resetb_hold_syn: item 0.030000001192092896 item 0.030000001192092896 item 0.03466666862368584 item 0.03466666862368584 name clk_clk: item 0.009999999776482582 item 0.009999999776482582 item 0.011999999172985554 item 0.011999999172985554 group fanout: name X0Fan: item 0.109333336353302 item 0.14733333885669708 item 0.12933333218097687 item 0.17266666889190674 name X1Fan: item 0.03266666457056999 item 0.15733332931995392 item 0.08866667002439499 item 0.25333333015441895 name SX1Fan: item 0.00800000037997961 item 0.03399999812245369 item 0.05933333560824394 item 0.07733333110809326 name X2Fan: item 0.09599999338388443 item 0.09466666728258133 item 0.11733333021402359 item 0.18333333730697632 name X8Fan: item 0.047333333641290665 item 0.07333333045244217 item 0.057333335280418396 item 0.10266666859388351 name FFan: item 0.03933333232998848 item 0.10866666585206985 item 0.041333332657814026 item 0.13599999248981476 name QFan: item 0.029333332553505898 item 0.07866666465997696 item 0.030000001192092896 item 0.07866666465997696 name OFFan: item 0.03399999812245369 item 0.06933333724737167 item 0.05000000074505806 item 0.07133333384990692 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_PCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_PCLK: item 0.11400000005960464 item 0.11299999803304672 item 0.1550000011920929 item 0.15199999511241913 name SPINE_TAP_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name TAP_BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name BRANCH_PCLK: item 0.023000000044703484 item 0.026000000536441803 item 0.028999999165534973 item 0.03500000014901161 name CIB_PIC_INSIDE: item 0.047333333641290665 item 0.0559999980032444 item 0.06066666543483734 item 0.06799999624490738 name CIB_CENT_SCLK: item 0.11000000685453415 item 0.09933333843946457 item 0.14266666769981384 item 0.13466666638851166 name PIO_CENT_SCLK: item 0.10866666585206985 item 0.09666666388511658 item 0.14000000059604645 item 0.1313333362340927 name CENT_SPINE_SCLK: item 0.47866666316986084 item 0.4780000150203705 item 0.6459999680519104 item 0.6559999585151672 name SPINE_TAP_SCLK_0: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name SPINE_TAP_SCLK_1: item 0.19466666877269745 item 0.19733333587646484 item 0.2706666588783264 item 0.2759999930858612 name TAP_BRANCH_SCLK: item 0.04600000008940697 item 0.04933333396911621 item 0.06266666203737259 item 0.06799999624490738 name BRANCH_SCLK: item 0.03799999877810478 item 0.03733333572745323 item 0.053333330899477005 item 0.052666667848825455 name GSRREC_SET: item 0.036666665226221085 item 0.036666665226221085 item 0.04399999976158142 item 0.04399999976158142 name GSRREC_HLD: item 0.03333333507180214 item 0.03333333507180214 item 0.04000000283122063 item 0.04000000283122063 name GSR_MPW: item 2.421999931335449 item 2.425333261489868 item 2.906399965286255 item 2.910400152206421 group hclk: name HclkInMux: item 0.07400000095367432 item 0.07699999958276749 item 0.10000000149011612 item 0.10300000011920929 name HclkHbrgMux: item 0.008999999612569809 item 0.008999999612569809 item 0.010999999940395355 item 0.010999999940395355 name HclkOutMux: item 0.008999999612569809 item 0.008999999612569809 item 0.013000000268220901 item 0.013000000268220901 name HclkDivMux: item 0.20100000500679016 item 0.19300000369548798 item 0.26100000739097595 item 0.2540000081062317 group iodelay: name GI_DO: item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 item 0.30000001192092896 name SDTAP_DO: item 0.017999999225139618 item 0.05000000074505806 item 0.05999999865889549 item 0.07699999958276749 name SETN_DO: item 0.08799999952316284 item 0.09000000357627869 item 0.10000000149011612 item 0.12099999934434891 name VALUE_DO: item 0.13199999928474426 item 0.12999999523162842 item 0.14000000059604645 item 0.16500000655651093 name SDTAP_DF: item 0.17599999904632568 item 0.17000000178813934 item 0.18000000715255737 item 0.20900000631809235 name SETN_DF: item 0.2200000137090683 item 0.20999999344348907 item 0.2199999988079071 item 0.25300002098083496 name VALUE_DF: item 0.2639999985694885 item 0.25 item 0.25999999046325684 item 0.2970000207424164 group wire: name X0: item 0.12800000607967377 item 0.11800000071525574 item 0.12800000607967377 item 0.11800000071525574 name FX1: item 0.14900000393390656 item 0.12800000607967377 item 0.14900000393390656 item 0.12800000607967377 name X2: item 0.16200000047683716 item 0.18799999356269836 item 0.16200000047683716 item 0.18799999356269836 name X8: item 0.1720000058412552 item 0.21400000154972076 item 0.1720000058412552 item 0.21400000154972076 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.1326666623353958 item 0.1340000033378601 item 0.1379999965429306 item 0.1420000046491623 name X0CLK: item 0.1433333307504654 item 0.14733333885669708 item 0.1666666716337204 item 0.17133332788944244 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6: group lut: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name c_f: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a_ofx: item 0.5550000071525574 item 0.49500003457069397 item 0.8175000548362732 item 0.7749999761581421 name b_ofx: item 0.5637500286102295 item 0.5199999809265137 item 0.84375 item 0.8224999904632568 name c_ofx: item 0.4625000059604645 item 0.42750000953674316 item 0.7087500095367432 item 0.6949999928474426 name d_ofx: item 0.3687499761581421 item 0.35499995946884155 item 0.5399999618530273 item 0.5924999713897705 name m0_ofx0: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name m1_ofx1: item 0.23624999821186066 item 0.2199999988079071 item 0.3362500071525574 item 0.3137499690055847 name fx_ofx1: item 0.07499999552965164 item 0.06499999761581421 item 0.13124999403953552 item 0.1287499964237213 group alu: name a_f: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b_f: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d_f: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name a0_fco: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name b0_fco: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name d0_fco: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name fci_fco: item 0.026249999180436134 item 0.027499999850988388 item 0.04387500137090683 item 0.04399999976158142 name fci_f0: item 0.29374998807907104 item 0.2887499928474426 item 0.5325000286102295 item 0.5874999761581421 group sram: name rad0_do: item 0.48000001907348633 item 0.4300000071525574 item 0.6862500309944153 item 0.6462500095367432 name rad1_do: item 0.48875001072883606 item 0.45499998331069946 item 0.7124999761581421 item 0.6937500238418579 name rad2_do: item 0.38749998807907104 item 0.36249998211860657 item 0.5774999856948853 item 0.5662500262260437 name rad3_do: item 0.29374998807907104 item 0.28999999165534973 item 0.4087499976158142 item 0.4637500047683716 name clk_di_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wre_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad0_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad0_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad1_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad1_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad2_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad2_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_wad3_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wad3_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_do: item 0.3291666805744171 item 0.3216666579246521 item 0.39249998331069946 item 0.3908333480358124 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15125000476837158 item 0.13375000655651093 item 0.17124998569488525 item 0.17750000953674316 name FX1: item 0.17000001668930054 item 0.14124999940395355 item 0.20999999344348907 item 0.19625000655651093 name X2: item 0.14875000715255737 item 0.18250000476837158 item 0.22500000894069672 item 0.29625001549720764 name X8: item 0.1837500035762787 item 0.22874999046325684 item 0.26625001430511475 item 0.33250001072883606 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.16583332419395447 item 0.16750000417232513 item 0.17249999940395355 item 0.17750000953674316 name X0CLK: item 0.17916665971279144 item 0.18416666984558105 item 0.2083333432674408 item 0.21416665613651276 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C7/I6_LV: group lut: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name c_f: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a_ofx: item 0.6060000061988831 item 0.5652000308036804 item 0.6060000061988831 item 0.5652000308036804 name b_ofx: item 0.6191999912261963 item 0.5916000008583069 item 0.6191999912261963 item 0.5916000008583069 name c_ofx: item 0.5076000094413757 item 0.492000013589859 item 0.5076000094413757 item 0.492000013589859 name d_ofx: item 0.3984000086784363 item 0.4092000126838684 item 0.3984000086784363 item 0.4092000126838684 name m0_ofx0: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name m1_ofx1: item 0.09120000153779984 item 0.08880000561475754 item 0.09120000153779984 item 0.08880000561475754 name fx_ofx1: item 0.2556000053882599 item 0.23880000412464142 item 0.2556000053882599 item 0.23880000412464142 group alu: name a_f: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b_f: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d_f: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name a0_fco: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name b0_fco: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name d0_fco: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name fci_fco: item 0.0276000015437603 item 0.028800001367926598 item 0.0276000015437603 item 0.028800001367926598 name fci_f0: item 0.32520002126693726 item 0.3287999927997589 item 0.32520002126693726 item 0.3287999927997589 group sram: name rad0_do: item 0.5148000121116638 item 0.4764000475406647 item 0.5148000121116638 item 0.4764000475406647 name rad1_do: item 0.527999997138977 item 0.5028000473976135 item 0.527999997138977 item 0.5028000473976135 name rad2_do: item 0.4164000153541565 item 0.4032000005245209 item 0.4164000153541565 item 0.4032000005245209 name rad3_do: item 0.30720001459121704 item 0.3203999996185303 item 0.30720001459121704 item 0.3203999996185303 name clk_di_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_di_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wre_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wre_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad0_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad0_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad1_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad1_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad2_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad2_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_wad3_set: item 0.036000002175569534 item 0.036000002175569534 item 0.041600003838539124 item 0.041600003838539124 name clk_wad3_hold: item 0.012000000104308128 item 0.012000000104308128 item 0.014399999752640724 item 0.014399999752640724 name clk_do: item 0.3160000443458557 item 0.30880001187324524 item 0.376800000667572 item 0.3752000331878662 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.15360000729560852 item 0.14160001277923584 item 0.15360000729560852 item 0.14160001277923584 name FX1: item 0.17880001664161682 item 0.15360000729560852 item 0.17880001664161682 item 0.15360000729560852 name X2: item 0.19440001249313354 item 0.225600004196167 item 0.19440001249313354 item 0.225600004196167 name X8: item 0.20640002191066742 item 0.2568000257015228 item 0.20640002191066742 item 0.2568000257015228 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.15919999778270721 item 0.1608000099658966 item 0.1656000018119812 item 0.170400008559227 name X0CLK: item 0.1720000058412552 item 0.17680001258850098 item 0.20000001788139343 item 0.20560000836849213 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6: group lut: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name c_f: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a_ofx: item 0.6393600106239319 item 0.5702400803565979 item 0.9417601227760315 item 0.8928000330924988 name b_ofx: item 0.6494400501251221 item 0.5990400314331055 item 0.9720000624656677 item 0.9475200176239014 name c_ofx: item 0.532800018787384 item 0.4924800395965576 item 0.8164801001548767 item 0.8006400465965271 name d_ofx: item 0.42480000853538513 item 0.4089599847793579 item 0.6220799684524536 item 0.6825599670410156 name m0_ofx0: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name m1_ofx1: item 0.27215999364852905 item 0.2534400224685669 item 0.38736000657081604 item 0.3614400029182434 name fx_ofx1: item 0.08640000224113464 item 0.07488000392913818 item 0.15119999647140503 item 0.1483200043439865 group alu: name a_f: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b_f: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d_f: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name a0_fco: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name b0_fco: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name d0_fco: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name fci_fco: item 0.030240001156926155 item 0.03168000280857086 item 0.05054400488734245 item 0.0506880022585392 name fci_f0: item 0.3384000062942505 item 0.33264002203941345 item 0.6134400367736816 item 0.676800012588501 group sram: name rad0_do: item 0.5529600381851196 item 0.49536004662513733 item 0.7905600666999817 item 0.7444800734519958 name rad1_do: item 0.563040018081665 item 0.5241600275039673 item 0.8208000063896179 item 0.7992000579833984 name rad2_do: item 0.4464000165462494 item 0.41760000586509705 item 0.6652800440788269 item 0.6523200273513794 name rad3_do: item 0.3384000062942505 item 0.3340800106525421 item 0.47088000178337097 item 0.5342400074005127 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.17424000799655914 item 0.15408000349998474 item 0.19728000462055206 item 0.20448002219200134 name FX1: item 0.1958400160074234 item 0.16272000968456268 item 0.24192000925540924 item 0.2260800153017044 name X2: item 0.17136001586914062 item 0.21024000644683838 item 0.25920000672340393 item 0.3412800133228302 name X8: item 0.21168000996112823 item 0.2635200023651123 item 0.3067200183868408 item 0.38304001092910767 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed A6_LV: group lut: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name c_f: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a_ofx: item 0.7272000312805176 item 0.6782400012016296 item 0.7272000312805176 item 0.6782400012016296 name b_ofx: item 0.7430399656295776 item 0.7099200487136841 item 0.7430399656295776 item 0.7099200487136841 name c_ofx: item 0.6091200113296509 item 0.5904000401496887 item 0.6091200113296509 item 0.5904000401496887 name d_ofx: item 0.47808000445365906 item 0.4910399913787842 item 0.47808000445365906 item 0.4910399913787842 name m0_ofx0: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name m1_ofx1: item 0.10943999886512756 item 0.10656000673770905 item 0.10943999886512756 item 0.10656000673770905 name fx_ofx1: item 0.3067200183868408 item 0.2865599989891052 item 0.3067200183868408 item 0.2865599989891052 group alu: name a_f: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b_f: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d_f: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name a0_fco: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name b0_fco: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name d0_fco: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name fci_fco: item 0.03312000259757042 item 0.03456000238656998 item 0.03312000259757042 item 0.03456000238656998 name fci_f0: item 0.39024001359939575 item 0.3945600092411041 item 0.39024001359939575 item 0.3945600092411041 group sram: name rad0_do: item 0.6177600026130676 item 0.5716800689697266 item 0.6177600026130676 item 0.5716800689697266 name rad1_do: item 0.6335999965667725 item 0.6033599972724915 item 0.6335999965667725 item 0.6033599972724915 name rad2_do: item 0.4996800124645233 item 0.4838400185108185 item 0.4996800124645233 item 0.4838400185108185 name rad3_do: item 0.3686400353908539 item 0.38447999954223633 item 0.3686400353908539 item 0.38447999954223633 name clk_di_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_di_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wre_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wre_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad0_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad0_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad1_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad1_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad2_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad2_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_wad3_set: item 0.04320000484585762 item 0.04320000484585762 item 0.04992000386118889 item 0.04992000386118889 name clk_wad3_hold: item 0.014400000683963299 item 0.014400000683963299 item 0.01727999933063984 item 0.01727999933063984 name clk_do: item 0.3792000412940979 item 0.37056002020835876 item 0.4521600008010864 item 0.45024004578590393 group dff: name di_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name di_clksetneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name di_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clksetpos: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clksteneg: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name ce_clkholdpos: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name ce_clkholdneg: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clksetpos_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_syn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_syn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_qpos: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name clk_qneg: item 0.2524999976158142 item 0.2512499988079071 item 0.2887499928474426 item 0.28999999165534973 name lsr_q: item 1.371250033378601 item 1.34375 item 1.434999942779541 item 1.4149999618530273 name lsr_clksetpos_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clksetneg_asyn: item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 item 0.04374999925494194 name lsr_clkholdpos_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name lsr_clkholdneg_asyn: item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 item 0.013749999925494194 name clk_clk: item 1.25 item 1.25 item 1.25 item 1.25 name lsr_lsr: item 3.75 item 3.75 item 3.75 item 3.75 group bram: name clka_doa: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_dob: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clkb_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clk_do: item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 item 0.3837500214576721 name clka_reseta_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_ocea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_cea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_wrea_set: item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 item 2.825000047683716 name clka_dia_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clka_di_set: item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 item 0.12000000476837158 name clka_ada_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clka_blksel_set: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_reseta_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_ocea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_cea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_wrea_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clka_dia_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_ada_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clka_blkset_hold: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clkb_resetb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_oceb_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_ceb_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clkb_oce_setclkb_wreb_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_dib_set: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clkb_adb_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_blkset_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_resetb_hold: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clkb_oceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_ceb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_wreb_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clkb_dib_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_adb_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_ce_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_oce_set: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clk_reset_set: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clk_wre_set: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clk_ad_set: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_di_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_blksel_set: item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 item 0.10875000059604645 name clk_ce_hold: item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 item 0.5475000143051147 name clk_oce_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_reset_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_wre_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_ad_hold: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_di_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_blksel_hold: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clk_reset_hold_syn: item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 item 0.17625001072883606 name clka_reseta_set_syn: item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 item 0.14750000834465027 name clka_reseta_hold_syn: item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 item 0.3112500011920929 name clkb_resetb_set_syn: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 name clkb_resetb_hold_syn: item 0.03750000149011612 item 0.03750000149011612 item 0.04333333671092987 item 0.04333333671092987 name clk_clk: item 0.01249999925494194 item 0.01249999925494194 item 0.014999998733401299 item 0.014999998733401299 group fanout: name X0Fan: item 0.1366666704416275 item 0.18416666984558105 item 0.1616666615009308 item 0.21583333611488342 name X1Fan: item 0.040833331644535065 item 0.1966666579246521 item 0.11083333939313889 item 0.3166666626930237 name SX1Fan: item 0.010000000707805157 item 0.04249999672174454 item 0.0741666704416275 item 0.09666666388511658 name X2Fan: item 0.11999998986721039 item 0.11833333224058151 item 0.14666666090488434 item 0.2291666716337204 name X8Fan: item 0.059166666120290756 item 0.09166666120290756 item 0.0716666728258133 item 0.12833333015441895 name FFan: item 0.049166664481163025 item 0.13583333790302277 item 0.05166666582226753 item 0.16999998688697815 name QFan: item 0.036666665226221085 item 0.09833332896232605 item 0.03750000149011612 item 0.09833332896232605 name OFFan: item 0.04249999672174454 item 0.08666667342185974 item 0.0625 item 0.08916667103767395 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_PCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_PCLK: item 0.14249999821186066 item 0.14124999940395355 item 0.19374999403953552 item 0.1899999976158142 name SPINE_TAP_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name TAP_BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name BRANCH_PCLK: item 0.028750000521540642 item 0.032499998807907104 item 0.036249998956918716 item 0.04374999925494194 name CIB_PIC_INSIDE: item 0.059166666120290756 item 0.07000000029802322 item 0.07583333551883698 item 0.08499999344348907 name CIB_CENT_SCLK: item 0.13750000298023224 item 0.12416667491197586 item 0.1783333420753479 item 0.16833333671092987 name PIO_CENT_SCLK: item 0.13583333790302277 item 0.12083332985639572 item 0.17499999701976776 item 0.1641666740179062 name CENT_SPINE_SCLK: item 0.5983333587646484 item 0.5975000262260437 item 0.8074999451637268 item 0.8199999332427979 name SPINE_TAP_SCLK_0: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name SPINE_TAP_SCLK_1: item 0.2433333396911621 item 0.24666666984558105 item 0.3383333086967468 item 0.3449999988079071 name TAP_BRANCH_SCLK: item 0.057500001043081284 item 0.061666667461395264 item 0.07833332568407059 item 0.08499999344348907 name BRANCH_SCLK: item 0.04749999940395355 item 0.046666670590639114 item 0.06666666269302368 item 0.06583333760499954 name GSRREC_SET: item 0.04583333060145378 item 0.04583333060145378 item 0.054999999701976776 item 0.054999999701976776 name GSRREC_HLD: item 0.0416666679084301 item 0.0416666679084301 item 0.05000000447034836 item 0.05000000447034836 name GSR_MPW: item 3.0274999141693115 item 3.0316665172576904 item 3.632999897003174 item 3.638000249862671 group hclk: name HclkInMux: item 0.0925000011920929 item 0.09624999761581421 item 0.125 item 0.1287499964237213 name HclkHbrgMux: item 0.011249999515712261 item 0.011249999515712261 item 0.013749999925494194 item 0.013749999925494194 name HclkOutMux: item 0.011249999515712261 item 0.011249999515712261 item 0.016249999403953552 item 0.016249999403953552 name HclkDivMux: item 0.2512499988079071 item 0.24125000834465027 item 0.32625001668930054 item 0.3174999952316284 group iodelay: name GI_DO: item 0.375 item 0.375 item 0.375 item 0.375 name SDTAP_DO: item 0.017999999225139618 item 0.0625 item 0.07499999552965164 item 0.09624999761581421 name SETN_DO: item 0.10999999940395355 item 0.11250000447034836 item 0.125 item 0.15125000476837158 name VALUE_DO: item 0.16499999165534973 item 0.16249999403953552 item 0.17499999701976776 item 0.20625001192092896 name SDTAP_DF: item 0.2199999988079071 item 0.21250000596046448 item 0.22500000894069672 item 0.26125001907348633 name SETN_DF: item 0.2750000059604645 item 0.26249998807907104 item 0.2750000059604645 item 0.3162500262260437 name VALUE_DF: item 0.32999998331069946 item 0.3125 item 0.32499998807907104 item 0.3712500333786011 group wire: name X0: item 0.18432001769542694 item 0.16992001235485077 item 0.18432001769542694 item 0.16992001235485077 name FX1: item 0.21456001698970795 item 0.18432001769542694 item 0.21456001698970795 item 0.18432001769542694 name X2: item 0.2332800030708313 item 0.2707200050354004 item 0.2332800030708313 item 0.2707200050354004 name X8: item 0.24768002331256866 item 0.3081600069999695 item 0.24768002331256866 item 0.3081600069999695 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.19103999435901642 item 0.1929600089788437 item 0.19872000813484192 item 0.20448002219200134 name X0CLK: item 0.20640000700950623 item 0.21216002106666565 item 0.24000000953674316 item 0.24672000110149384 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8: group lut: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name c_f: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a_ofx: item 0.3827280104160309 item 0.34135201573371887 item 0.5637480020523071 item 0.5344399809837341 name b_ofx: item 0.3887619972229004 item 0.3585920035839081 item 0.581849992275238 item 0.5671960115432739 name c_ofx: item 0.31894001364707947 item 0.29480400681495667 item 0.4887540340423584 item 0.4792719781398773 name d_ofx: item 0.25428998470306396 item 0.24480797350406647 item 0.3723839819431305 item 0.4085879623889923 name m0_ofx0: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name m1_ofx1: item 0.16291800141334534 item 0.15171200037002563 item 0.23187799751758575 item 0.21636198461055756 name fx_ofx1: item 0.05171999707818031 item 0.04482400044798851 item 0.09050999581813812 item 0.08878599852323532 group alu: name a_f: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b_f: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d_f: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name a0_fco: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name b0_fco: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name d0_fco: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name fci_fco: item 0.018101999536156654 item 0.018964000046253204 item 0.030256200581789017 item 0.030342400074005127 name fci_f0: item 0.20256999135017395 item 0.19912199676036835 item 0.3672119975090027 item 0.4051399827003479 group sram: name rad0_do: item 0.3310079872608185 item 0.29652801156044006 item 0.4732380211353302 item 0.4456540048122406 name rad1_do: item 0.3370420038700104 item 0.3137679994106293 item 0.4913399815559387 item 0.478410005569458 name rad2_do: item 0.26721999049186707 item 0.24997998774051666 item 0.3982439935207367 item 0.3904860019683838 name rad3_do: item 0.20256999135017395 item 0.19998398423194885 item 0.28187400102615356 item 0.3198019862174988 name clk_di_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wre_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad0_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad0_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad1_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad1_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad2_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad2_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_wad3_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wad3_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_do: item 0.2269933521747589 item 0.22182133793830872 item 0.2706679701805115 item 0.2695186734199524 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.10430199652910233 item 0.09223400056362152 item 0.11809399724006653 item 0.12240400165319443 name FX1: item 0.11723200231790543 item 0.09740599989891052 item 0.14481599628925323 item 0.13533399999141693 name X2: item 0.10257799923419952 item 0.12585200369358063 item 0.15516000986099243 item 0.20429399609565735 name X8: item 0.12671399116516113 item 0.15774600207805634 item 0.18360599875450134 item 0.22929200530052185 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11435866355895996 item 0.11550800502300262 item 0.11895599216222763 item 0.12240400165319443 name X0CLK: item 0.1235533282160759 item 0.1270013302564621 item 0.14366666972637177 item 0.1476893275976181 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 speed C9/I8_LV: group lut: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name c_f: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a_ofx: item 0.4343000054359436 item 0.4050599932670593 item 0.4343000054359436 item 0.4050599932670593 name b_ofx: item 0.4437599778175354 item 0.4239799976348877 item 0.4437599778175354 item 0.4239799976348877 name c_ofx: item 0.36378002166748047 item 0.35260000824928284 item 0.36378002166748047 item 0.35260000824928284 name d_ofx: item 0.2855199873447418 item 0.29326000809669495 item 0.2855199873447418 item 0.29326000809669495 name m0_ofx0: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name m1_ofx1: item 0.06536000221967697 item 0.06363999843597412 item 0.06536000221967697 item 0.06363999843597412 name fx_ofx1: item 0.18318000435829163 item 0.17114000022411346 item 0.18318000435829163 item 0.17114000022411346 group alu: name a_f: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b_f: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d_f: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name a0_fco: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name b0_fco: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name d0_fco: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name fci_fco: item 0.019780000671744347 item 0.020640000700950623 item 0.019780000671744347 item 0.020640000700950623 name fci_f0: item 0.23306000232696533 item 0.23563998937606812 item 0.23306000232696533 item 0.23563998937606812 group sram: name rad0_do: item 0.36893999576568604 item 0.3414200246334076 item 0.36893999576568604 item 0.3414200246334076 name rad1_do: item 0.3783999979496002 item 0.3603399991989136 item 0.3783999979496002 item 0.3603399991989136 name rad2_do: item 0.2984200119972229 item 0.2889600098133087 item 0.2984200119972229 item 0.2889600098133087 name rad3_do: item 0.22016000747680664 item 0.22961999475955963 item 0.22016000747680664 item 0.22961999475955963 name clk_di_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_di_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wre_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wre_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad0_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad0_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad1_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad1_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad2_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad2_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_wad3_set: item 0.025800000876188278 item 0.025800000876188278 item 0.029813336208462715 item 0.029813336208462715 name clk_wad3_hold: item 0.00860000029206276 item 0.00860000029206276 item 0.010319999419152737 item 0.010319999419152737 name clk_do: item 0.22646668553352356 item 0.2213066816329956 item 0.27003997564315796 item 0.26889336109161377 group dff: name di_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name di_clksetneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name di_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clksetpos: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clksteneg: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name ce_clkholdpos: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name ce_clkholdneg: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clksetpos_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_syn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_syn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_qpos: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name clk_qneg: item 0.17412400245666504 item 0.17326200008392334 item 0.19912199676036835 item 0.19998398423194885 name lsr_q: item 0.9456139802932739 item 0.9266500473022461 item 0.989575982093811 item 0.9757839441299438 name lsr_clksetpos_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clksetneg_asyn: item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 item 0.030169999226927757 name lsr_clkholdpos_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name lsr_clkholdneg_asyn: item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 item 0.009482000023126602 name clk_clk: item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 item 0.8619999885559082 name lsr_lsr: item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 item 2.5859999656677246 group bram: name clka_doa: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_dob: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clkb_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clk_do: item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 item 0.26463401317596436 name clka_reseta_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_ocea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_cea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_wrea_set: item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 item 1.9481199979782104 name clka_dia_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clka_di_set: item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 item 0.08275199681520462 name clka_ada_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clka_blksel_set: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_reseta_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_ocea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_cea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_wrea_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clka_dia_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_ada_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clka_blkset_hold: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clkb_resetb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_oceb_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_ceb_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clkb_oce_setclkb_wreb_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_dib_set: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clkb_adb_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_blkset_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_resetb_hold: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clkb_oceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_ceb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_wreb_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clkb_dib_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_adb_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_ce_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_oce_set: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clk_reset_set: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clk_wre_set: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clk_ad_set: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_di_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_blksel_set: item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 item 0.07499399781227112 name clk_ce_hold: item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 item 0.3775559961795807 name clk_oce_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_reset_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_wre_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_ad_hold: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_di_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_blksel_hold: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clk_reset_hold_syn: item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 item 0.12154199928045273 name clka_reseta_set_syn: item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 item 0.10171599686145782 name clka_reseta_hold_syn: item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 item 0.21463799476623535 name clkb_resetb_set_syn: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 name clkb_resetb_hold_syn: item 0.025860000401735306 item 0.025860000401735306 item 0.02988266758620739 item 0.02988266758620739 name clk_clk: item 0.008619999513030052 item 0.008619999513030052 item 0.010343999601900578 item 0.010343999601900578 group fanout: name X0Fan: item 0.09424533694982529 item 0.1270013302564621 item 0.11148533225059509 item 0.14883866906166077 name X1Fan: item 0.02815866470336914 item 0.1356213241815567 item 0.07643067091703415 item 0.21837332844734192 name SX1Fan: item 0.0068960003554821014 item 0.029307998716831207 item 0.05114533379673958 item 0.06666132807731628 name X2Fan: item 0.08275199681520462 item 0.08160266280174255 item 0.10114132612943649 item 0.1580333411693573 name X8Fan: item 0.04080133140087128 item 0.06321333348751068 item 0.04942133277654648 item 0.08849866688251495 name FFan: item 0.033905331045389175 item 0.09367066621780396 item 0.035629332065582275 item 0.11723199486732483 name QFan: item 0.025285331532359123 item 0.06781066209077835 item 0.025860000401735306 item 0.06781066209077835 name OFFan: item 0.029307998716831207 item 0.05976533517241478 item 0.04309999942779541 item 0.06148933246731758 name X0FanNum: item 22 name X1FanNum: item 28 name SX1FanNum: item 28 name X2FanNum: item 32 name X8FanNum: item 21 name FFanNum: item 31 name QFanNum: item 24 name OFFanNum: item 7 group glbsrc: name CIB_CENT_PCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_PCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_PCLK: item 0.09826800227165222 item 0.09740599989891052 item 0.13360999524593353 item 0.13102398812770844 name SPINE_TAP_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name TAP_BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name BRANCH_PCLK: item 0.019826000556349754 item 0.022412000223994255 item 0.024997998028993607 item 0.030169999226927757 name CIB_PIC_INSIDE: item 0.04080133140087128 item 0.04827199876308441 item 0.052294664084911346 item 0.058615997433662415 name CIB_CENT_SCLK: item 0.09482000768184662 item 0.08562533557415009 item 0.12297866493463516 item 0.11608266830444336 name PIO_CENT_SCLK: item 0.09367066621780396 item 0.08332666009664536 item 0.12067999690771103 item 0.11320933699607849 name CENT_SPINE_SCLK: item 0.41261065006256104 item 0.4120360016822815 item 0.5568519830703735 item 0.5654719471931458 name SPINE_TAP_SCLK_0: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name SPINE_TAP_SCLK_1: item 0.16780266165733337 item 0.1701013296842575 item 0.2333146631717682 item 0.23791198432445526 name TAP_BRANCH_SCLK: item 0.03965200111269951 item 0.04252533242106438 item 0.05401866137981415 item 0.058615997433662415 name BRANCH_SCLK: item 0.03275599703192711 item 0.032181333750486374 item 0.04597333073616028 item 0.04539866745471954 name GSRREC_SET: item 0.03160666674375534 item 0.03160666674375534 item 0.03792800009250641 item 0.03792800009250641 name GSRREC_HLD: item 0.028733333572745323 item 0.028733333572745323 item 0.03448000177741051 item 0.03448000177741051 name GSR_MPW: item 2.087764024734497 item 2.09063720703125 item 2.505316734313965 item 2.5087649822235107 group hclk: name HclkInMux: item 0.06378799676895142 item 0.06637399643659592 item 0.08619999885559082 item 0.08878599852323532 name HclkHbrgMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.009482000023126602 item 0.009482000023126602 name HclkOutMux: item 0.0077579994685947895 item 0.0077579994685947895 item 0.011206000111997128 item 0.011206000111997128 name HclkDivMux: item 0.17326200008392334 item 0.16636599600315094 item 0.22498200833797455 item 0.21894800662994385 group iodelay: name GI_DO: item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 item 0.25859999656677246 name SDTAP_DO: item 0.017999999225139618 item 0.04309999942779541 item 0.05171999707818031 item 0.06637399643659592 name SETN_DO: item 0.07585600018501282 item 0.07758000493049622 item 0.08619999885559082 item 0.10430199652910233 name VALUE_DO: item 0.11378400027751923 item 0.11205999553203583 item 0.12067999690771103 item 0.14223000407218933 name SDTAP_DF: item 0.15171200037002563 item 0.14654000103473663 item 0.15516000986099243 item 0.18015800416469574 name SETN_DF: item 0.18964001536369324 item 0.18101999163627625 item 0.18964000046253204 item 0.21808601915836334 name VALUE_DF: item 0.22756800055503845 item 0.21549999713897705 item 0.22411999106407166 item 0.25601401925086975 group wire: name X0: item 0.11008000373840332 item 0.10147999972105026 item 0.11008000373840332 item 0.10147999972105026 name FX1: item 0.12814000248908997 item 0.11008000373840332 item 0.12814000248908997 item 0.11008000373840332 name X2: item 0.1393200010061264 item 0.16167999804019928 item 0.1393200010061264 item 0.16167999804019928 name X8: item 0.14792001247406006 item 0.18404000997543335 item 0.14792001247406006 item 0.18404000997543335 name ISB: item 0.0 item 0.0 item 0.0 item 0.0 name X0CTL: item 0.11409333348274231 item 0.11524000763893127 item 0.11868000030517578 item 0.12212000787258148 name X0CLK: item 0.12326666712760925 item 0.12670667469501495 item 0.1433333456516266 item 0.14734666049480438 name X0ME: item 0.0 item 0.0 item 0.0 item 0.0 Deduplicating tile shapes... 3080 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bba /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/gowin/chipdb-GW2A-18C.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 10%] Built target chipdb-himbaechel-gowin /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build.make himbaechel/uarch/xilinx/CMakeFiles/chipdb-himbaechel-xilinx.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 10%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a100t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a100t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 10%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 10%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 11%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 12%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 13%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 13%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr/ice40/constids.inc -g /builddir/build/BUILD/nextpnr/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc Processing nodes... Deduplicating tile shapes... 7680 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a100t.bin [ 14%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a200t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a200t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba [ 14%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 15%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 16%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 17%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 18%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 18%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 19%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/arch.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr/generic/arch.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/arch_pybindings.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/generic/arch_pybindings.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/himbaechel_api.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr/generic/cells.cc [ 20%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/himbaechel_helpers.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr/generic/main.cc [ 21%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/main.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr/generic/pack.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/example/example.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct_api.cc [ 22%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/cst.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct_helpers.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/example/example.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/globals.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/okami/okami.cc [ 23%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/fabulous.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/gowin_utils.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/fasm.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/gowin/pack.cc [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/pack.cc [ 24%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/cells.cc [ 25%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/generic -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr/generic/viaduct/fabulous/validity_check.cc [ 25%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/fasm.cc [ 25%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 25%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_carry.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_clocking.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_dram.cc [ 26%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_dsp_xc7.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pack_io.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/pins.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xdc.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xilinx.cc [ 27%] Building CXX object CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o /usr/bin/g++ -DARCHNAME=himbaechel -DARCH_HIMBAECHEL -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_himbaechel -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/himbaechel -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -MF CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o.d -o CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o -c /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/xilinx_place.cc [ 28%] Linking CXX executable nextpnr-himbaechel /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-himbaechel.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/arch_pybindings.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_api.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/himbaechel_helpers.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/main.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/example/example.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/cst.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/globals.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/gowin_utils.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/gowin/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/cells.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/fasm.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_carry.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_clocking.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dram.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_dsp_xc7.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pack_io.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/pins.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xdc.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx.cc.o" "CMakeFiles/nextpnr-himbaechel.dir/himbaechel/uarch/xilinx/xilinx_place.cc.o" -o nextpnr-himbaechel -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so cd /builddir/build/BUILD/nextpnr/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 28%] Built target chipdb-ice40-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 28%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 28%] Built target nextpnr-generic /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ecp5 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/ecp5 /builddir/build/BUILD/nextpnr/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 29%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 30%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 30%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 31%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 31%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 31%] Built target chipdb-ice40-bins /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 31%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-384.cc [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-1k.cc [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-5k.cc [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-u4k.cc cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 32%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new [ 32%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 32%] Built target nextpnr-himbaechel [ 33%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 33%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7a50t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7a50t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba [ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a50t.bin [ 34%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7k70t.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7k70t --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc Processing nodes... Deduplicating tile shapes... 6246 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7k70t.bin [ 37%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7s50.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7s50 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 37%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc Processing nodes... Deduplicating tile shapes... 5232 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7s50.bin [ 39%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z010.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z010 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc Processing nodes... Deduplicating tile shapes... 3034 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z010.bin [ 40%] Generating ../../../share/himbaechel/xilinx/chipdb-xc7z020.bin cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && pypy3 /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx/gen/xilinx_gen.py --xray /usr/share/xray/database//artix7 --device xc7z020 --bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch.cc [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch_place.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/ice40/arch_pybindings.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/ice40/bitstream.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr/ice40/cells.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr/ice40/chains.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr/ice40/delay.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr/ice40/gfx.cc Processing nodes... Deduplicating tile shapes... 7413 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr/ice40/main.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7z020.bin [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr/ice40/pack.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ice40 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr/ice40/pcf.cc cd /builddir/build/BUILD/nextpnr/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 44%] Built target chipdb-ecp5-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 44%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 44%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc [ 45%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 46%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/nexus /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/nexus /builddir/build/BUILD/nextpnr/nexus/CMakeFiles/chipdb-nexus-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f nexus/CMakeFiles/chipdb-nexus-bbas.dir/build.make nexus/CMakeFiles/chipdb-nexus-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Generating chipdb/chipdb-LIFCL.bba cd /builddir/build/BUILD/nextpnr/nexus && /usr/bin/prjoxide bba-export LIFCL /builddir/build/BUILD/nextpnr/nexus/constids.inc chipdb/chipdb-LIFCL.bba.new cd /builddir/build/BUILD/nextpnr/nexus && /usr/bin/cmake -E rename chipdb/chipdb-LIFCL.bba.new chipdb/chipdb-LIFCL.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 46%] Built target chipdb-nexus-bbas gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 46%] Built target chipdb-ecp5-bins /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-nexus-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus-bins.dir/build.make CMakeFiles/chipdb-nexus-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Generating nexus/chipdb/chipdb-LIFCL.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/nexus/chipdb/chipdb-LIFCL.bba nexus/chipdb/chipdb-LIFCL.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 46%] Built target chipdb-nexus-bins /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/gowin /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/gowin /builddir/build/BUILD/nextpnr/gowin/CMakeFiles/chipdb-gowin-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f gowin/CMakeFiles/chipdb-gowin-bbas.dir/build.make gowin/CMakeFiles/chipdb-gowin-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 46%] Generating chipdb/chipdb-GW1N-1.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-1 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-1.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-1.bba.new chipdb/chipdb-GW1N-1.bba [ 46%] Generating chipdb/chipdb-GW1NZ-1.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NZ-1 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NZ-1.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NZ-1.bba.new chipdb/chipdb-GW1NZ-1.bba [ 47%] Generating chipdb/chipdb-GW1N-4.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-4 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-4.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-4.bba.new chipdb/chipdb-GW1N-4.bba [ 47%] Generating chipdb/chipdb-GW1N-9.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9.bba.new chipdb/chipdb-GW1N-9.bba [ 47%] Generating chipdb/chipdb-GW1N-9C.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1N-9C -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1N-9C.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1N-9C.bba.new chipdb/chipdb-GW1N-9C.bba [ 47%] Generating chipdb/chipdb-GW1NS-2.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-2 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-2.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-2.bba.new chipdb/chipdb-GW1NS-2.bba [ 48%] Generating chipdb/chipdb-GW1NS-4.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW1NS-4 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW1NS-4.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW1NS-4.bba.new chipdb/chipdb-GW1NS-4.bba [ 48%] Generating chipdb/chipdb-GW2A-18.bba cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/gowin_bba -d GW2A-18 -i /builddir/build/BUILD/nextpnr/gowin/constids.inc -o chipdb/chipdb-GW2A-18.bba.new cd /builddir/build/BUILD/nextpnr/gowin && /usr/bin/cmake -E rename chipdb/chipdb-GW2A-18.bba.new chipdb/chipdb-GW2A-18.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 48%] Built target chipdb-gowin-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 48%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-25k.cc [ 48%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-45k.cc [ 48%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 49%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-nexus.dir/build.make CMakeFiles/chipdb-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 49%] Building CXX object CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -MF CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o.d -o CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o -c /builddir/build/BUILD/nextpnr/nexus/chipdb/chipdb-LIFCL.cc /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-gowin-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin-bins.dir/build.make CMakeFiles/chipdb-gowin-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 49%] Generating gowin/chipdb/chipdb-GW1N-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-1.bba gowin/chipdb/chipdb-GW1N-1.cc [ 50%] Generating gowin/chipdb/chipdb-GW1NZ-1.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.bba gowin/chipdb/chipdb-GW1NZ-1.cc [ 50%] Generating gowin/chipdb/chipdb-GW1N-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-4.bba gowin/chipdb/chipdb-GW1N-4.cc [ 51%] Generating gowin/chipdb/chipdb-GW1N-9.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9.bba gowin/chipdb/chipdb-GW1N-9.cc [ 51%] Generating gowin/chipdb/chipdb-GW1N-9C.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9C.bba gowin/chipdb/chipdb-GW1N-9C.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 52%] Built target chipdb-nexus /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/machxo2 /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/machxo2 /builddir/build/BUILD/nextpnr/machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build.make machxo2/CMakeFiles/chipdb-machxo2-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 53%] Generating chipdb/chipdb-1200.bba cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr/machxo2/gfx.h 1200 > chipdb/chipdb-1200.bba.new [ 53%] Generating gowin/chipdb/chipdb-GW1NS-2.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-2.bba gowin/chipdb/chipdb-GW1NS-2.cc [ 53%] Generating gowin/chipdb/chipdb-GW1NS-4.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-4.bba gowin/chipdb/chipdb-GW1NS-4.cc [ 53%] Generating gowin/chipdb/chipdb-GW2A-18.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW2A-18.bba gowin/chipdb/chipdb-GW2A-18.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 53%] Built target chipdb-gowin-bins /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 53%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 54%] Built target nextpnr-ice40 [ 54%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-nexus.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-nexus.dir/build.make CMakeFiles/nextpnr-nexus.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 57%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 57%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 58%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 59%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-1200.bba.new chipdb/chipdb-1200.bba [ 59%] Generating chipdb/chipdb-6900.bba cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/python3 /builddir/build/BUILD/nextpnr/machxo2/facade_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr/machxo2/constids.inc -g /builddir/build/BUILD/nextpnr/machxo2/gfx.h 6900 > chipdb/chipdb-6900.bba.new [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 59%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 59%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 60%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 60%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 61%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 61%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 61%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 61%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 62%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 64%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 65%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 66%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch_place.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/arch_pybindings.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/baseconfigs.cc [ 68%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/bitstream.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/cells.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/config.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/gfx.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/globals.cc [ 70%] Building CXX object CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 71%] Building CXX object CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 71%] Building CXX object CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/lpf.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/main.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/pack.cc [ 72%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch_place.cc [ 73%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/nexus/arch_pybindings.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/ecp5 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr/ecp5/pio.cc [ 74%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 74%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o -c /builddir/build/BUILD/nextpnr/nexus/fasm.cc [ 74%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o -c /builddir/build/BUILD/nextpnr/nexus/global.cc [ 74%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o -c /builddir/build/BUILD/nextpnr/nexus/io.cc [ 74%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o -c /builddir/build/BUILD/nextpnr/nexus/main.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pack.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pdc.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o -c /builddir/build/BUILD/nextpnr/nexus/pins.cc [ 75%] Building CXX object CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o /usr/bin/g++ -DARCHNAME=nexus -DARCH_NEXUS -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_nexus -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/nexus -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -MF CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o.d -o CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o -c /builddir/build/BUILD/nextpnr/nexus/post_place.cc [ 76%] Linking CXX executable nextpnr-nexus /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-nexus.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-nexus.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-nexus.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-nexus.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-nexus.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-nexus.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_place.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/arch_pybindings.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/fasm.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/global.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/io.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/main.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pack.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pdc.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/pins.cc.o" "CMakeFiles/nextpnr-nexus.dir/nexus/post_place.cc.o" "CMakeFiles/chipdb-nexus.dir/nexus/chipdb/chipdb-LIFCL.cc.o" -o nextpnr-nexus -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so cd /builddir/build/BUILD/nextpnr/machxo2 && /usr/bin/cmake -E rename chipdb/chipdb-6900.bba.new chipdb/chipdb-6900.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 76%] Built target chipdb-machxo2-bbas /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-gowin.dir/build.make CMakeFiles/chipdb-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 77%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-1.cc [ 77%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NZ-1.cc [ 77%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-4.cc [ 77%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9.cc [ 78%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1N-9C.cc [ 78%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-2.cc [ 78%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW1NS-4.cc Processing nodes... Deduplicating tile shapes... 12613 unique tile routing shapes cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && ../../../bba/bbasm --le /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bba /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new [ 78%] Building CXX object CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -MF CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o.d -o CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o -c /builddir/build/BUILD/nextpnr/gowin/chipdb/chipdb-GW2A-18.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 79%] Built target chipdb-gowin /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-machxo2-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2-bins.dir/build.make CMakeFiles/chipdb-machxo2-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 79%] Generating machxo2/chipdb/chipdb-1200.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-1200.bba machxo2/chipdb/chipdb-1200.cc cd /builddir/build/BUILD/nextpnr/himbaechel/uarch/xilinx && /usr/bin/cmake -E rename /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin.new /builddir/build/BUILD/nextpnr/share/himbaechel/xilinx/chipdb-xc7a200t.bin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 79%] Built target chipdb-himbaechel-xilinx /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-gowin.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-gowin.dir/build.make CMakeFiles/nextpnr-gowin.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 79%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 79%] Generating machxo2/chipdb/chipdb-6900.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-6900.bba machxo2/chipdb/chipdb-6900.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 80%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 81%] Built target nextpnr-nexus [ 81%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 82%] Built target chipdb-machxo2-bins /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/chipdb-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/chipdb-machxo2.dir/build.make CMakeFiles/chipdb-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 82%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-1200.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 82%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 83%] Building CXX object CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -MF CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o.d -o CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/chipdb/chipdb-6900.cc [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 83%] Built target nextpnr-ecp5 [ 83%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 84%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [ 85%] Built target chipdb-machxo2 /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' cd /builddir/build/BUILD/nextpnr && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr /builddir/build/BUILD/nextpnr/CMakeFiles/nextpnr-machxo2.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/gmake -f CMakeFiles/nextpnr-machxo2.dir/build.make CMakeFiles/nextpnr-machxo2.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr' [ 85%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/archcheck.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 85%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 86%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/basectx.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 86%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/bits.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 86%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/command.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 86%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 87%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o -c /builddir/build/BUILD/nextpnr/gowin/arch.cc [ 88%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/gowin/arch_pybindings.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/context.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o -c /builddir/build/BUILD/nextpnr/gowin/cells.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/design_utils.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o -c /builddir/build/BUILD/nextpnr/gowin/cst.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o -c /builddir/build/BUILD/nextpnr/gowin/gfx.cc [ 89%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/embed.cc [ 89%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o -c /builddir/build/BUILD/nextpnr/gowin/globals.cc [ 90%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o -c /builddir/build/BUILD/nextpnr/gowin/main.cc [ 90%] Building CXX object CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o /usr/bin/g++ -DARCHNAME=gowin -DARCH_GOWIN -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_gowin -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/gowin -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -MF CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o.d -o CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o -c /builddir/build/BUILD/nextpnr/gowin/pack.cc [ 90%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/handle_error.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstring.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/idstringlist.cc [ 91%] Linking CXX executable nextpnr-gowin /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-gowin.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-gowin.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-gowin.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-gowin.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-gowin.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-gowin.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/arch_pybindings.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cells.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/cst.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/gfx.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/globals.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/main.cc.o" "CMakeFiles/nextpnr-gowin.dir/gowin/pack.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NZ-1.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1N-9C.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-2.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW1NS-4.cc.o" "CMakeFiles/chipdb-gowin.dir/gowin/chipdb/chipdb-GW2A-18.cc.o" -o nextpnr-gowin -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/log.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr.cc [ 91%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_assertions.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_namespaces.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/nextpnr_types.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/property.cc [ 92%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/pybindings.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/report.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdc.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/sdf.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/str_ring_buffer.cc [ 93%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/svg.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr/common/kernel/timing_log.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr/common/place/detail_place_core.cc [ 94%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr/common/place/parallel_refine.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr/common/place/place_common.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer1.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_heap.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr/common/place/placer_static.cc [ 95%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr/common/place/timing_opt.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router1.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr/common/route/router2.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg.cc [ 96%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr/3rdparty/oourafft/fftsg2d.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr/json/jsonwrite.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr/frontend/json_frontend.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch_place.cc [ 97%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/arch_pybindings.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/baseconfigs.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/bitstream.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/cells.cc [ 98%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/config.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/gfx.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o /usr/bin/g++ -DARCHNAME=machxo2 -DARCH_MACHXO2 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_machxo2 -DNEXTPNR_USE_TBB -DNO_GUI -I/builddir/build/BUILD/nextpnr/common/kernel -I/builddir/build/BUILD/nextpnr/common/place -I/builddir/build/BUILD/nextpnr/common/route -I/builddir/build/BUILD/nextpnr/json -I/builddir/build/BUILD/nextpnr/frontend -I/builddir/build/BUILD/nextpnr/3rdparty/json11 -I/builddir/build/BUILD/nextpnr/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr/machxo2 -I/builddir/build/BUILD/nextpnr/generated -I/builddir/build/BUILD/nextpnr/rust -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -std=gnu++17 -flto=auto -fno-fat-lto-objects -MD -MT CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -MF CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o.d -o CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o -c /builddir/build/BUILD/nextpnr/machxo2/pack.cc [100%] Linking CXX executable nextpnr-machxo2 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-machxo2.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -O2 -g -DNDEBUG -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes "CMakeFiles/nextpnr-machxo2.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdc.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-machxo2.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-machxo2.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-machxo2.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-machxo2.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_place.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/arch_pybindings.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/baseconfigs.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/bitstream.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/cells.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/config.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/gfx.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/globals.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/lpf.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/main.cc.o" "CMakeFiles/nextpnr-machxo2.dir/machxo2/pack.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-1200.cc.o" "CMakeFiles/chipdb-machxo2.dir/machxo2/chipdb/chipdb-6900.cc.o" -o nextpnr-machxo2 -ljson11 /usr/lib64/libtbb.so.12.11 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.12.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [100%] Built target nextpnr-gowin gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr' [100%] Built target nextpnr-machxo2 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr/CMakeFiles 0 + mkdir -p examples/ice40 examples/ecp5 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.kgVxVU + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 ++ dirname /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wno-complain-wrong-lang -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -w -fpermissive -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr + DESTDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 + /usr/bin/cmake --install . -- Install configuration: "RelWithDebInfo" -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-himbaechel -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-ecp5 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-nexus -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-gowin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/bin/nextpnr-machxo2 -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/example -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18C.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW2A-18.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NS-4.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9C.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-9.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-4.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1NZ-1.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/gowin/chipdb-GW1N-1.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a200t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z020.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7z010.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7s50.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7k70t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a50t.bin -- Installing: /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/nextpnr/himbaechel/xilinx/chipdb-xc7a100t.bin + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 0.7-20241002.0.git268b32c3.fc40 --unique-debug-suffix -0.7-20241002.0.git268b32c3.fc40.aarch64 --unique-debug-src-base nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr find-debuginfo: starting Extracting debug info from 7 files DWARF-compressing 7 files sepdebugcrcfix: Updated 7 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 2372954 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.e6yb8Z + umask 022 + cd /builddir/build/BUILD + cd nextpnr + DOCDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/doc/nextpnr + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/README.md /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/docs /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/examples /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.wig1ha + umask 022 + cd /builddir/build/BUILD + cd nextpnr + LICENSEDIR=/builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/licenses/nextpnr + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/COPYING /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-imgui.txt /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-qtimgui.txt /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr/LICENSE-python-console.txt /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: nextpnr = 1:0.7-20241002.0.git268b32c3.fc40 nextpnr(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libboost_filesystem.so.1.83.0()(64bit) libboost_iostreams.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libjson11.so.0()(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-0.7-20241002.0.git268b32c3.fc40.aarch64 Provides: nextpnr-debugsource = 1:0.7-20241002.0.git268b32c3.fc40 nextpnr-debugsource(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-0.7-20241002.0.git268b32c3.fc40.aarch64 Provides: debuginfo(build-id) = 02490b4c272944c0f2f8e6ab066ac2ad5178ad54 debuginfo(build-id) = 0723984179ecb8804f19544891329d9b7221b5b9 debuginfo(build-id) = 0938d3c2bb6cc3afb3081be2cad44ebbaebb36d0 debuginfo(build-id) = 838b2f80fa2e5c0403b9a147dadaefdfa4671a0a debuginfo(build-id) = 985ce640bdfe93cfeb89e30cead4c1e850a4c68d debuginfo(build-id) = df0c3a48596648a262d21177cc4e02a9570938dc debuginfo(build-id) = e5c41305feabd4ab490000383bcdb566f66e2eb4 nextpnr-debuginfo = 1:0.7-20241002.0.git268b32c3.fc40 nextpnr-debuginfo(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(aarch-64) = 1:0.7-20241002.0.git268b32c3.fc40 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 Wrote: /builddir/build/RPMS/nextpnr-debuginfo-0.7-20241002.0.git268b32c3.fc40.aarch64.rpm Wrote: /builddir/build/RPMS/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-0.7-20241002.0.git268b32c3.fc40.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.adzyWx + umask 022 + cd /builddir/build/BUILD + cd nextpnr + /usr/bin/rm -rf /builddir/build/BUILDROOT/nextpnr-0.7-20241002.0.git268b32c3.fc40.aarch64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.TF2pZ8 + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/nextpnr-SPECPARTS + rm -rf nextpnr nextpnr.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm Finish: build phase for nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-aarch64-1727898147.578266/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-0.7-20241002.0.git268b32c3.fc40.src.rpm) Config(child) 34 minutes 3 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr-debuginfo", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc40", "arch": "aarch64" }, { "name": "nextpnr-debugsource", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc40", "arch": "aarch64" }, { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc40", "arch": "aarch64" }, { "name": "nextpnr", "epoch": 1, "version": "0.7", "release": "20241002.0.git268b32c3.fc40", "arch": "src" } ] } RPMResults finished