Mock Version: 4.1 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target ppc64le --nodeps /builddir/build/SPECS/litex-pythondata-cpu-mor1kx.spec'], chrootPath='/var/lib/mock/fedora-rawhide-ppc64le-1688733194.288366/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.z21libnm:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=FalseprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.z21libnm:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '27831658dd694b6ea82653ba18d073eb', '-D', '/var/lib/mock/fedora-rawhide-ppc64le-1688733194.288366/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.z21libnm:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target ppc64le --nodeps /builddir/build/SPECS/litex-pythondata-cpu-mor1kx.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1637193600 Wrote: /builddir/build/SRPMS/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target ppc64le --nodeps /builddir/build/SPECS/litex-pythondata-cpu-mor1kx.spec'], chrootPath='/var/lib/mock/fedora-rawhide-ppc64le-1688733194.288366/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.z21libnm:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=FalseprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.z21libnm:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '53107e3648a2466b9e1726c952dc8b03', '-D', '/var/lib/mock/fedora-rawhide-ppc64le-1688733194.288366/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.z21libnm:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target ppc64le --nodeps /builddir/build/SPECS/litex-pythondata-cpu-mor1kx.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1637193600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Hs9yBY + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf litex-pythondata-cpu-mor1kx + /usr/bin/mkdir -p litex-pythondata-cpu-mor1kx + cd litex-pythondata-cpu-mor1kx + /usr/bin/mkdir -p SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + find /builddir/build/BUILD -name SPECPARTS -exec rm -rf '{}' + + git clone --depth 1 -n -b master https://github.com/litex-hub/pythondata-cpu-mor1kx.git . Cloning into '.'... + git fetch --depth 1 origin 92628ec323dcce464185ad9e0f58a788c2be6989 From https://github.com/litex-hub/pythondata-cpu-mor1kx * branch 92628ec323dcce464185ad9e0f58a788c2be6989 -> FETCH_HEAD + git reset --hard 92628ec323dcce464185ad9e0f58a788c2be6989 HEAD is now at 92628ec Updating pythondata-cpu-mor1kx to 5.1.1.post142 + git log --format=fuller commit 92628ec323dcce464185ad9e0f58a788c2be6989 Author: LiteX Robot AuthorDate: Mon May 30 19:56:33 2022 +0000 Commit: LiteX Robot CommitDate: Mon May 30 19:56:33 2022 +0000 Updating pythondata-cpu-mor1kx to 5.1.1.post142 Updated data to v5.1.1-0-g4cebbb6 based on 4cebbb684bba8f9fe74f9b69e679796d4fd4a35b from https://github.com/openrisc/mor1kx.git. > commit 4cebbb684bba8f9fe74f9b69e679796d4fd4a35b > Merge: 44ea698 1a8c19b > Author: Stafford Horne > Date: Mon May 23 06:01:28 2022 +0900 > > Merge pull request #147 from stffrdhrn/or1k-linux-failing > > Revert "dcache: Allow writing during write_pending" > Updated using 0.0.post142 from https://github.com/litex-hub/litex-data-auto + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.l63Jwq + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd litex-pythondata-cpu-mor1kx + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib creating build/lib/pythondata_cpu_mor1kx copying pythondata_cpu_mor1kx/__init__.py -> build/lib/pythondata_cpu_mor1kx running egg_info creating pythondata_cpu_mor1kx.egg-info writing pythondata_cpu_mor1kx.egg-info/PKG-INFO writing dependency_links to pythondata_cpu_mor1kx.egg-info/dependency_links.txt writing top-level names to pythondata_cpu_mor1kx.egg-info/top_level.txt writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' reading manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' warning: no previously-included files matching '*.py[cod]' found anywhere in distribution adding license file 'LICENSE' writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_mor1kx.verilog' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_mor1kx.verilog' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_mor1kx.verilog' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_mor1kx.verilog' to be distributed and are already explicitly excluding 'pythondata_cpu_mor1kx.verilog' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_mor1kx.verilog.bench.formal' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_mor1kx.verilog.bench.formal' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_mor1kx.verilog.bench.formal' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_mor1kx.verilog.bench.formal' to be distributed and are already explicitly excluding 'pythondata_cpu_mor1kx.verilog.bench.formal' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_mor1kx.verilog.bench.verilog' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_mor1kx.verilog.bench.verilog' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_mor1kx.verilog.bench.verilog' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_mor1kx.verilog.bench.verilog' to be distributed and are already explicitly excluding 'pythondata_cpu_mor1kx.verilog.bench.verilog' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_mor1kx.verilog.doc' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_mor1kx.verilog.doc' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_mor1kx.verilog.doc' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_mor1kx.verilog.doc' to be distributed and are already explicitly excluding 'pythondata_cpu_mor1kx.verilog.doc' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_mor1kx.verilog.rtl.verilog' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_mor1kx.verilog.rtl.verilog' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_mor1kx.verilog.rtl.verilog' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_mor1kx.verilog.rtl.verilog' to be distributed and are already explicitly excluding 'pythondata_cpu_mor1kx.verilog.rtl.verilog' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) /usr/lib/python3.12/site-packages/setuptools/command/build_py.py:201: _Warning: Package 'pythondata_cpu_mor1kx.verilog.rtl.verilog.pfpu32' is absent from the `packages` configuration. !! ******************************************************************************** ############################ # Package would be ignored # ############################ Python recognizes 'pythondata_cpu_mor1kx.verilog.rtl.verilog.pfpu32' as an importable package[^1], but it is absent from setuptools' `packages` configuration. This leads to an ambiguous overall configuration. If you want to distribute this package, please make sure that 'pythondata_cpu_mor1kx.verilog.rtl.verilog.pfpu32' is explicitly added to the `packages` configuration field. Alternatively, you can also rely on setuptools' discovery methods (for example by using `find_namespace_packages(...)`/`find_namespace:` instead of `find_packages(...)`/`find:`). You can read more about "package discovery" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/package_discovery.html If you don't want 'pythondata_cpu_mor1kx.verilog.rtl.verilog.pfpu32' to be distributed and are already explicitly excluding 'pythondata_cpu_mor1kx.verilog.rtl.verilog.pfpu32' via `find_namespace_packages(...)/find_namespace` or `find_packages(...)/find`, you can try to use `exclude_package_data`, or `include-package-data=False` in combination with a more fine grained `package-data` configuration. You can read more about "package data files" on setuptools documentation page: - https://setuptools.pypa.io/en/latest/userguide/datafiles.html [^1]: For Python, any directory (with suitable naming) can be imported, even if it does not contain any `.py` files. On the other hand, currently there is no concept of package data directory, all directories are treated like packages. ******************************************************************************** !! check.warn(importable) creating build/lib/pythondata_cpu_mor1kx/verilog copying pythondata_cpu_mor1kx/verilog/.gitignore -> build/lib/pythondata_cpu_mor1kx/verilog copying pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/lib/pythondata_cpu_mor1kx/verilog copying pythondata_cpu_mor1kx/verilog/LICENSE -> build/lib/pythondata_cpu_mor1kx/verilog copying pythondata_cpu_mor1kx/verilog/README.md -> build/lib/pythondata_cpu_mor1kx/verilog copying pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/lib/pythondata_cpu_mor1kx/verilog creating build/lib/pythondata_cpu_mor1kx/verilog/.github creating build/lib/pythondata_cpu_mor1kx/verilog/.github/workflows copying pythondata_cpu_mor1kx/verilog/.github/workflows/Dockerfile -> build/lib/pythondata_cpu_mor1kx/verilog/.github/workflows copying pythondata_cpu_mor1kx/verilog/.github/workflows/ci.yml -> build/lib/pythondata_cpu_mor1kx/verilog/.github/workflows creating build/lib/pythondata_cpu_mor1kx/verilog/bench creating build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/.gitignore -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/Makefile -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/f_multiclock_op.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/fspr_master.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/fspr_slave.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/fwb_master.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_bus_if_wb32.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_cache_lru.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_cpu_cappuccino.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_cpu_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_ctrl_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dcache.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dcache.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_decode.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_decode_execute_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dmmu.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dmmu.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_execute_alu.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_execute_ctrl_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_fetch_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_icache.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_immu.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_lsu_cappuccino.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_lsu_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_pcu.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_pic.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_rf_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_simple_dpram_sclk.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_simple_dpram_sclk.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_store_buffer.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_store_buffer.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_ticktimer.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_true_dpram_sclk.gtkw -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_true_dpram_sclk.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_wb_mux_cappuccino.sby -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/states-dcache.txt -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal copying pythondata_cpu_mor1kx/verilog/bench/formal/states-lsu.txt -> build/lib/pythondata_cpu_mor1kx/verilog/bench/formal creating build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog creating build/lib/pythondata_cpu_mor1kx/verilog/doc copying pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/lib/pythondata_cpu_mor1kx/verilog/doc copying pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/lib/pythondata_cpu_mor1kx/verilog/doc copying pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/lib/pythondata_cpu_mor1kx/verilog/doc copying pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/lib/pythondata_cpu_mor1kx/verilog/doc copying pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/lib/pythondata_cpu_mor1kx/verilog/doc creating build/lib/pythondata_cpu_mor1kx/verilog/rtl creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.noUeLa + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le '!=' / ']' + rm -rf /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le ++ dirname /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd litex-pythondata-cpu-mor1kx + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le --prefix /usr running install /usr/lib/python3.12/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer, pypa/build or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12 creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/rtl/verilog creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/doc copying build/lib/pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/doc copying build/lib/pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/doc copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/doc copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/doc copying build/lib/pythondata_cpu_mor1kx/verilog/doc/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/doc creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/verilog creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/states-lsu.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/states-dcache.txt -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_wb_mux_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_true_dpram_sclk.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_true_dpram_sclk.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_ticktimer.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_store_buffer.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_store_buffer.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_simple_dpram_sclk.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_simple_dpram_sclk.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_rf_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_pic.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_pcu.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_lsu_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_lsu_cappuccino.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_immu.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_icache.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_fetch_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_execute_ctrl_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_execute_alu.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dmmu.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dmmu.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_decode_execute_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_decode.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dcache.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_dcache.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_ctrl_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_cpu_cappuccino.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_cpu_cappuccino.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_cache_lru.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx_bus_if_wb32.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx.sby -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/mor1kx.gtkw -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/fwb_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/fspr_slave.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/fspr_master.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/f_multiclock_op.v -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/Makefile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal copying build/lib/pythondata_cpu_mor1kx/verilog/bench/formal/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/bench/formal creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/.github creating /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/.github/workflows copying build/lib/pythondata_cpu_mor1kx/verilog/.github/workflows/ci.yml -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/.github/workflows copying build/lib/pythondata_cpu_mor1kx/verilog/.github/workflows/Dockerfile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog/.github/workflows copying build/lib/pythondata_cpu_mor1kx/verilog/mor1kx.core -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/README.md -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/LICENSE -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/Jenkinsfile -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog copying build/lib/pythondata_cpu_mor1kx/verilog/.gitignore -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/verilog copying build/lib/pythondata_cpu_mor1kx/__init__.py -> /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx byte-compiling /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx/__init__.py to __init__.cpython-312.pyc writing byte-compilation script '/tmp/tmpl_wcwbzo.py' /usr/bin/python3 /tmp/tmpl_wcwbzo.py removing /tmp/tmpl_wcwbzo.py running install_egg_info running egg_info writing pythondata_cpu_mor1kx.egg-info/PKG-INFO writing dependency_links to pythondata_cpu_mor1kx.egg-info/dependency_links.txt writing top-level names to pythondata_cpu_mor1kx.egg-info/top_level.txt reading manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' warning: no previously-included files matching '*.py[cod]' found anywhere in distribution adding license file 'LICENSE' writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' Copying pythondata_cpu_mor1kx.egg-info to /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12/site-packages/pythondata_cpu_mor1kx-5.1.1.post142-py3.12.egg-info running install_scripts + rm -rfv /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j5 --strict-build-id -m -i --build-id-seed 2022.08-20220530.3.git92628ec3.fc39 --unique-debug-suffix -2022.08-20220530.3.git92628ec3.fc39.ppc64le --unique-debug-src-base litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/litex-pythondata-cpu-mor1kx find-debuginfo: starting Extracting debug info from 0 files Creating .debug symlinks for symlinks to ELF files find: 'debug': No such file or directory find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j5 Bytecompiling .py files below /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/lib/python3.12 using python3.12 + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: litex-pythondata-cpu-mor1kx-python3-2022.08-20220530.3.git92628ec3.fc39.noarch Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.a0pB3O + umask 022 + cd /builddir/build/BUILD + cd litex-pythondata-cpu-mor1kx + DOCDIR=/builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/share/doc/litex-pythondata-cpu-mor1kx-python3 + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/share/doc/litex-pythondata-cpu-mor1kx-python3 + cp -pr /builddir/build/BUILD/litex-pythondata-cpu-mor1kx/README.md /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/share/doc/litex-pythondata-cpu-mor1kx-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.iiaiAc + umask 022 + cd /builddir/build/BUILD + cd litex-pythondata-cpu-mor1kx + LICENSEDIR=/builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/share/licenses/litex-pythondata-cpu-mor1kx-python3 + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/share/licenses/litex-pythondata-cpu-mor1kx-python3 + cp -pr /builddir/build/BUILD/litex-pythondata-cpu-mor1kx/LICENSE /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le/usr/share/licenses/litex-pythondata-cpu-mor1kx-python3 + RPM_EC=0 ++ jobs -p + exit 0 Provides: litex-pythondata-cpu-mor1kx-python3 = 2022.08-20220530.3.git92628ec3.fc39 python3.12dist(pythondata-cpu-mor1kx) = 5.1.1^post142 python3dist(pythondata-cpu-mor1kx) = 5.1.1^post142 pythondata-cpu-mor1kx Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/perl python(abi) = 3.12 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le Wrote: /builddir/build/RPMS/litex-pythondata-cpu-mor1kx-python3-2022.08-20220530.3.git92628ec3.fc39.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.AQemmw + umask 022 + cd /builddir/build/BUILD + cd litex-pythondata-cpu-mor1kx + /usr/bin/rm -rf /builddir/build/BUILDROOT/litex-pythondata-cpu-mor1kx-2022.08-20220530.3.git92628ec3.fc39.ppc64le + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.NgCoyH + umask 022 + cd /builddir/build/BUILD + rm -rf litex-pythondata-cpu-mor1kx litex-pythondata-cpu-mor1kx.gemspec + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0