Warning: Permanently added '3.88.106.29' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7602759-fedora-40-x86_64 --chroot fedora-40-x86_64 Version: 0.73 PID: 6608 Logging PID: 6609 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 7602759, 'buildroot_pkgs': [], 'chroot': 'fedora-40-x86_64', 'enable_net': True, 'fedora_review': False, 'git_hash': '136f60ece9b478c32968c441111e43bb9114db66', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'cocotb', 'package_version': '1.8.1-20240611.0.git4a4f9144', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-40-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-40-x86_64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-40-x86_64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'rezso', 'tags': [], 'task_id': '7602759-fedora-40-x86_64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb', '/var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb'... Running: git checkout 136f60ece9b478c32968c441111e43bb9114db66 -- cmd: ['git', 'checkout', '136f60ece9b478c32968c441111e43bb9114db66', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb rc: 0 stdout: stderr: Note: switching to '136f60ece9b478c32968c441111e43bb9114db66'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 136f60e automatic import of cocotb Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1718153932.167441 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1718153932.167441 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb/cocotb.spec) Config(fedora-40-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-bootstrap-1718153932.167441/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:40 INFO: Pulling image: registry.fedoraproject.org/fedora:40 INFO: Copy content of container registry.fedoraproject.org/fedora:40 to /var/lib/mock/fedora-40-x86_64-bootstrap-1718153932.167441/root INFO: Checking that registry.fedoraproject.org/fedora:40 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:40 with podman image mount INFO: image registry.fedoraproject.org/fedora:40 as /var/lib/containers/storage/overlay/5c4696c906b49a972de45056eb223137c5a40578ca546bc25f05f7093e1efd9e/merged INFO: umounting image registry.fedoraproject.org/fedora:40 (/var/lib/containers/storage/overlay/5c4696c906b49a972de45056eb223137c5a40578ca546bc25f05f7093e1efd9e/merged) with podman image umount INFO: Using 'dnf' instead of 'dnf5' for bootstrap chroot INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 1.0 MB/s | 124 kB 00:00 Additional repo copr_rezso_ML 456 kB/s | 114 kB 00:00 Additional repo copr_rezso_CUDA 339 kB/s | 42 kB 00:00 Additional repo http_developer_download_nvidia_ 47 MB/s | 758 kB 00:00 Additional repo http_developer_download_nvidia_ 2.0 MB/s | 480 kB 00:00 Additional repo http_developer_download_nvidia_ 942 kB/s | 437 kB 00:00 fedora 36 MB/s | 20 MB 00:00 updates 36 MB/s | 7.2 MB 00:00 Dependencies resolved. ================================================================================ Package Architecture Version Repository Size ================================================================================ Installing: dnf5 x86_64 5.1.17-1.fc40 updates 701 k dnf5-plugins x86_64 5.1.17-1.fc40 updates 358 k Installing dependencies: fmt x86_64 10.2.1-4.fc40 fedora 125 k libdnf5 x86_64 5.1.17-1.fc40 updates 1.0 M libdnf5-cli x86_64 5.1.17-1.fc40 updates 231 k sdbus-cpp x86_64 1.4.0-2.fc40 fedora 110 k Transaction Summary ================================================================================ Install 6 Packages Total download size: 2.5 M Installed size: 6.8 M Downloading Packages: (1/6): fmt-10.2.1-4.fc40.x86_64.rpm 10 MB/s | 125 kB 00:00 (2/6): sdbus-cpp-1.4.0-2.fc40.x86_64.rpm 8.1 MB/s | 110 kB 00:00 (3/6): dnf5-plugins-5.1.17-1.fc40.x86_64.rpm 90 MB/s | 358 kB 00:00 (4/6): dnf5-5.1.17-1.fc40.x86_64.rpm 37 MB/s | 701 kB 00:00 (5/6): libdnf5-5.1.17-1.fc40.x86_64.rpm 151 MB/s | 1.0 MB 00:00 (6/6): libdnf5-cli-5.1.17-1.fc40.x86_64.rpm 60 MB/s | 231 kB 00:00 -------------------------------------------------------------------------------- Total 6.6 MB/s | 2.5 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : fmt-10.2.1-4.fc40.x86_64 1/6 Installing : libdnf5-5.1.17-1.fc40.x86_64 2/6 Installing : libdnf5-cli-5.1.17-1.fc40.x86_64 3/6 Installing : sdbus-cpp-1.4.0-2.fc40.x86_64 4/6 Installing : dnf5-5.1.17-1.fc40.x86_64 5/6 Installing : dnf5-plugins-5.1.17-1.fc40.x86_64 6/6 Running scriptlet: dnf5-plugins-5.1.17-1.fc40.x86_64 6/6 Installed: dnf5-5.1.17-1.fc40.x86_64 dnf5-plugins-5.1.17-1.fc40.x86_64 fmt-10.2.1-4.fc40.x86_64 libdnf5-5.1.17-1.fc40.x86_64 libdnf5-cli-5.1.17-1.fc40.x86_64 sdbus-cpp-1.4.0-2.fc40.x86_64 Complete! INFO: Switching package manager from dnf to the dnf5 (direct choice) Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-1718153932.167441/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.x86_64 rpm-sequoia-1.6.0-3.fc40.x86_64 python3-dnf-4.19.2-1.fc40.noarch yum-4.19.2-1.fc40.noarch dnf5-5.1.17-1.fc40.x86_64 dnf5-plugins-5.1.17-1.fc40.x86_64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: updates 100% | 39.0 MiB/s | 7.7 MiB | 00m00s fedora 100% | 24.4 MiB/s | 20.5 MiB | 00m01s Copr repository 100% | 3.7 MiB/s | 125.9 KiB | 00m00s Additional repo copr_rezso_ML 100% | 3.4 MiB/s | 115.3 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 1.9 MiB/s | 43.8 KiB | 00m00s Additional repo http_developer_downloa 100% | 68.7 MiB/s | 774.1 KiB | 00m00s Additional repo http_developer_downloa 100% | 53.7 MiB/s | 494.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 43.8 MiB/s | 448.7 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash x86_64 5.2.26-3.fc40 fedora 8.1 MiB bzip2 x86_64 1.0.8-18.fc40 fedora 91.7 KiB coreutils x86_64 9.4-6.fc40 fedora 5.8 MiB cpio x86_64 2.15-1.fc40 fedora 1.1 MiB diffutils x86_64 3.10-5.fc40 fedora 1.6 MiB fedora-release-common noarch 40-39 updates 19.1 KiB findutils x86_64 1:4.9.0-8.fc40 fedora 1.5 MiB gawk x86_64 5.3.0-3.fc40 fedora 1.7 MiB glibc-minimal-langpack x86_64 2.39-13.fc40 updates 0.0 B grep x86_64 3.11-7.fc40 fedora 1.0 MiB gzip x86_64 1.13-1.fc40 fedora 385.0 KiB info x86_64 7.1-2.fc40 fedora 357.8 KiB patch x86_64 2.7.6-24.fc40 fedora 262.8 KiB redhat-rpm-config noarch 286-1.fc40 fedora 185.2 KiB rpm-build x86_64 4.19.1.1-1.fc40 fedora 173.7 KiB sed x86_64 4.9-1.fc40 fedora 861.5 KiB shadow-utils x86_64 2:4.15.1-3.fc40 updates 4.1 MiB tar x86_64 2:1.35-3.fc40 fedora 2.9 MiB unzip x86_64 6.0-63.fc40 fedora 382.8 KiB util-linux x86_64 2.40.1-1.fc40 updates 3.7 MiB which x86_64 2.21-41.fc40 fedora 80.2 KiB xz x86_64 1:5.4.6-3.fc40 fedora 2.0 MiB Installing dependencies: alternatives x86_64 1.27-1.fc40 updates 66.3 KiB ansible-srpm-macros noarch 1-14.fc40 fedora 35.7 KiB audit-libs x86_64 4.0.1-1.fc40 fedora 327.3 KiB authselect x86_64 1.5.0-5.fc40 fedora 153.6 KiB authselect-libs x86_64 1.5.0-5.fc40 fedora 818.3 KiB basesystem noarch 11-20.fc40 fedora 0.0 B binutils x86_64 2.41-37.fc40 updates 26.4 MiB binutils-gold x86_64 2.41-37.fc40 updates 2.0 MiB bzip2-libs x86_64 1.0.8-18.fc40 fedora 80.7 KiB ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 fedora 2.3 MiB coreutils-common x86_64 9.4-6.fc40 fedora 11.4 MiB cracklib x86_64 2.9.11-5.fc40 fedora 238.9 KiB crypto-policies noarch 20240510-1.gitd287a42.fc40 updates 151.9 KiB curl x86_64 8.6.0-8.fc40 updates 734.6 KiB cyrus-sasl-lib x86_64 2.1.28-19.fc40 fedora 2.3 MiB debugedit x86_64 5.0-14.fc40 fedora 199.0 KiB dwz x86_64 0.15-6.fc40 fedora 290.9 KiB ed x86_64 1.20.2-1.fc40 updates 146.9 KiB efi-srpm-macros noarch 5-11.fc40 fedora 40.1 KiB elfutils x86_64 0.191-4.fc40 fedora 2.5 MiB elfutils-debuginfod-client x86_64 0.191-4.fc40 fedora 64.9 KiB elfutils-default-yama-scope noarch 0.191-4.fc40 fedora 1.8 KiB elfutils-libelf x86_64 0.191-4.fc40 fedora 1.2 MiB elfutils-libs x86_64 0.191-4.fc40 fedora 646.1 KiB fedora-gpg-keys noarch 40-2 updates 124.7 KiB fedora-release noarch 40-39 updates 0.0 B fedora-release-identity-basic noarch 40-39 updates 654.0 B fedora-repos noarch 40-2 updates 4.9 KiB file x86_64 5.45-4.fc40 fedora 103.5 KiB file-libs x86_64 5.45-4.fc40 fedora 9.9 MiB filesystem x86_64 3.18-8.fc40 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-14.fc40 fedora 55.3 KiB forge-srpm-macros noarch 0.3.1-1.fc40 updates 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 fedora 144.0 B gdb-minimal x86_64 14.2-2.fc40 updates 12.7 MiB gdbm x86_64 1:1.23-6.fc40 fedora 460.9 KiB gdbm-libs x86_64 1:1.23-6.fc40 fedora 121.9 KiB ghc-srpm-macros noarch 1.9-1.fc40 fedora 716.0 B glibc x86_64 2.39-13.fc40 updates 6.6 MiB glibc-common x86_64 2.39-13.fc40 updates 1.0 MiB glibc-gconv-extra x86_64 2.39-13.fc40 updates 7.8 MiB gmp x86_64 1:6.2.1-8.fc40 fedora 794.6 KiB gnat-srpm-macros noarch 6-5.fc40 fedora 1.0 KiB go-srpm-macros noarch 3.5.0-1.fc40 fedora 60.6 KiB jansson x86_64 2.13.1-9.fc40 fedora 88.3 KiB kernel-srpm-macros noarch 1.0-23.fc40 fedora 1.9 KiB keyutils-libs x86_64 1.6.3-3.fc40 fedora 54.4 KiB krb5-libs x86_64 1.21.2-5.fc40 fedora 2.3 MiB libacl x86_64 2.3.2-1.fc40 fedora 40.0 KiB libarchive x86_64 3.7.2-4.fc40 updates 914.6 KiB libattr x86_64 2.5.2-3.fc40 fedora 28.5 KiB libblkid x86_64 2.40.1-1.fc40 updates 258.5 KiB libbrotli x86_64 1.1.0-3.fc40 fedora 829.5 KiB libcap x86_64 2.69-8.fc40 updates 219.8 KiB libcap-ng x86_64 0.8.4-4.fc40 fedora 73.1 KiB libcom_err x86_64 1.47.0-5.fc40 fedora 67.2 KiB libcurl x86_64 8.6.0-8.fc40 updates 772.8 KiB libeconf x86_64 0.6.2-2.fc40 updates 58.0 KiB libevent x86_64 2.1.12-12.fc40 fedora 895.6 KiB libfdisk x86_64 2.40.1-1.fc40 updates 362.9 KiB libffi x86_64 3.4.4-7.fc40 fedora 81.6 KiB libgcc x86_64 14.1.1-4.fc40 updates 270.6 KiB libgomp x86_64 14.1.1-4.fc40 updates 519.5 KiB libidn2 x86_64 2.3.7-1.fc40 fedora 329.1 KiB libmount x86_64 2.40.1-1.fc40 updates 351.8 KiB libnghttp2 x86_64 1.59.0-3.fc40 updates 166.1 KiB libnsl2 x86_64 2.0.1-1.fc40 fedora 57.9 KiB libpkgconf x86_64 2.1.0-1.fc40 fedora 74.2 KiB libpsl x86_64 0.21.5-3.fc40 fedora 80.5 KiB libpwquality x86_64 1.4.5-9.fc40 fedora 417.8 KiB libselinux x86_64 3.6-4.fc40 fedora 173.0 KiB libsemanage x86_64 3.6-3.fc40 fedora 293.5 KiB libsepol x86_64 3.6-3.fc40 fedora 802.0 KiB libsmartcols x86_64 2.40.1-1.fc40 updates 180.4 KiB libssh x86_64 0.10.6-5.fc40 fedora 509.3 KiB libssh-config noarch 0.10.6-5.fc40 fedora 277.0 B libstdc++ x86_64 14.1.1-4.fc40 updates 2.8 MiB libtasn1 x86_64 4.19.0-6.fc40 fedora 175.7 KiB libtirpc x86_64 1.3.4-1.rc3.fc40 fedora 202.8 KiB libtool-ltdl x86_64 2.4.7-10.fc40 fedora 66.2 KiB libunistring x86_64 1.1-7.fc40 fedora 1.7 MiB libutempter x86_64 1.2.1-13.fc40 fedora 57.7 KiB libuuid x86_64 2.40.1-1.fc40 updates 37.4 KiB libverto x86_64 0.3.2-8.fc40 fedora 29.5 KiB libxcrypt x86_64 4.4.36-5.fc40 fedora 262.8 KiB libxml2 x86_64 2.12.7-1.fc40 updates 1.7 MiB libzstd x86_64 1.5.6-1.fc40 updates 787.9 KiB lua-libs x86_64 5.4.6-5.fc40 fedora 281.1 KiB lua-srpm-macros noarch 1-13.fc40 fedora 1.3 KiB lz4-libs x86_64 1.9.4-6.fc40 fedora 129.4 KiB mpfr x86_64 4.2.1-4.fc40 updates 832.0 KiB ncurses-base noarch 6.4-12.20240127.fc40 fedora 326.2 KiB ncurses-libs x86_64 6.4-12.20240127.fc40 fedora 963.2 KiB ocaml-srpm-macros noarch 9-3.fc40 fedora 1.9 KiB openblas-srpm-macros noarch 2-16.fc40 fedora 104.0 B openldap x86_64 2.6.7-1.fc40 fedora 635.1 KiB openssl-libs x86_64 1:3.2.1-2.fc40 fedora 7.8 MiB p11-kit x86_64 0.25.3-4.fc40 fedora 2.2 MiB p11-kit-trust x86_64 0.25.3-4.fc40 fedora 391.4 KiB package-notes-srpm-macros noarch 0.5-11.fc40 fedora 1.6 KiB pam x86_64 1.6.1-3.fc40 updates 1.8 MiB pam-libs x86_64 1.6.1-3.fc40 updates 135.0 KiB pcre2 x86_64 10.42-2.fc40.2 fedora 637.6 KiB pcre2-syntax noarch 10.42-2.fc40.2 fedora 235.1 KiB perl-srpm-macros noarch 1-53.fc40 fedora 861.0 B pkgconf x86_64 2.1.0-1.fc40 fedora 82.4 KiB pkgconf-m4 noarch 2.1.0-1.fc40 fedora 13.9 KiB pkgconf-pkg-config x86_64 2.1.0-1.fc40 fedora 989.0 B popt x86_64 1.19-6.fc40 fedora 136.9 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 fedora 67.5 KiB pyproject-srpm-macros noarch 1.12.0-1.fc40 fedora 1.5 KiB python-srpm-macros noarch 3.12-7.fc40 fedora 50.1 KiB qt5-srpm-macros noarch 5.15.14-1.fc40 updates 500.0 B qt6-srpm-macros noarch 6.7.1-1.fc40 updates 456.0 B readline x86_64 8.2-8.fc40 fedora 489.2 KiB rpm x86_64 4.19.1.1-1.fc40 fedora 3.0 MiB rpm-build-libs x86_64 4.19.1.1-1.fc40 fedora 198.4 KiB rpm-libs x86_64 4.19.1.1-1.fc40 fedora 709.9 KiB rpm-sequoia x86_64 1.6.0-3.fc40 updates 2.2 MiB rust-srpm-macros noarch 26.3-1.fc40 updates 4.8 KiB setup noarch 2.14.5-2.fc40 fedora 720.4 KiB sqlite-libs x86_64 3.45.1-2.fc40 fedora 1.4 MiB systemd-libs x86_64 255.7-1.fc40 updates 1.9 MiB util-linux-core x86_64 2.40.1-1.fc40 updates 1.5 MiB xxhash-libs x86_64 0.8.2-2.fc40 fedora 88.5 KiB xz-libs x86_64 1:5.4.6-3.fc40 fedora 209.8 KiB zig-srpm-macros noarch 1-2.fc40 fedora 1.1 KiB zip x86_64 3.0-40.fc40 fedora 703.2 KiB zlib-ng-compat x86_64 2.1.6-2.fc40 fedora 134.0 KiB zstd x86_64 1.5.6-1.fc40 updates 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 152 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation 178 MiB will be used (install 178 MiB, remove 0 B). [ 1/152] bzip2-0:1.0.8-18.fc40.x86_64 100% | 4.3 MiB/s | 52.4 KiB | 00m00s [ 2/152] bash-0:5.2.26-3.fc40.x86_64 100% | 100.3 MiB/s | 1.8 MiB | 00m00s [ 3/152] cpio-0:2.15-1.fc40.x86_64 100% | 35.7 MiB/s | 292.2 KiB | 00m00s [ 4/152] coreutils-0:9.4-6.fc40.x86_64 100% | 49.8 MiB/s | 1.1 MiB | 00m00s [ 5/152] diffutils-0:3.10-5.fc40.x86_6 100% | 66.0 MiB/s | 405.6 KiB | 00m00s [ 6/152] findutils-1:4.9.0-8.fc40.x86_ 100% | 96.1 MiB/s | 492.0 KiB | 00m00s [ 7/152] gzip-0:1.13-1.fc40.x86_64 100% | 55.5 MiB/s | 170.6 KiB | 00m00s [ 8/152] grep-0:3.11-7.fc40.x86_64 100% | 48.9 MiB/s | 300.2 KiB | 00m00s [ 9/152] info-0:7.1-2.fc40.x86_64 100% | 59.4 MiB/s | 182.3 KiB | 00m00s [ 10/152] patch-0:2.7.6-24.fc40.x86_64 100% | 31.9 MiB/s | 130.7 KiB | 00m00s [ 11/152] redhat-rpm-config-0:286-1.fc4 100% | 27.0 MiB/s | 83.0 KiB | 00m00s [ 12/152] rpm-build-0:4.19.1.1-1.fc40.x 100% | 19.1 MiB/s | 78.2 KiB | 00m00s [ 13/152] sed-0:4.9-1.fc40.x86_64 100% | 51.8 MiB/s | 318.2 KiB | 00m00s [ 14/152] unzip-0:6.0-63.fc40.x86_64 100% | 36.0 MiB/s | 184.5 KiB | 00m00s [ 15/152] tar-2:1.35-3.fc40.x86_64 100% | 104.6 MiB/s | 856.6 KiB | 00m00s [ 16/152] which-0:2.21-41.fc40.x86_64 100% | 13.5 MiB/s | 41.4 KiB | 00m00s [ 17/152] fedora-release-common-0:40-39 100% | 20.8 MiB/s | 21.3 KiB | 00m00s [ 18/152] xz-1:5.4.6-3.fc40.x86_64 100% | 77.8 MiB/s | 557.4 KiB | 00m00s [ 19/152] gawk-0:5.3.0-3.fc40.x86_64 100% | 133.9 MiB/s | 1.1 MiB | 00m00s [ 20/152] glibc-minimal-langpack-0:2.39 100% | 12.6 MiB/s | 103.0 KiB | 00m00s [ 21/152] shadow-utils-2:4.15.1-3.fc40. 100% | 146.9 MiB/s | 1.3 MiB | 00m00s [ 22/152] util-linux-0:2.40.1-1.fc40.x8 100% | 133.8 MiB/s | 1.2 MiB | 00m00s [ 23/152] filesystem-0:3.18-8.fc40.x86_ 100% | 98.7 MiB/s | 1.1 MiB | 00m00s [ 24/152] ncurses-libs-0:6.4-12.2024012 100% | 54.1 MiB/s | 332.5 KiB | 00m00s [ 25/152] bzip2-libs-0:1.0.8-18.fc40.x8 100% | 8.0 MiB/s | 40.9 KiB | 00m00s [ 26/152] gmp-1:6.2.1-8.fc40.x86_64 100% | 76.3 MiB/s | 312.5 KiB | 00m00s [ 27/152] libacl-0:2.3.2-1.fc40.x86_64 100% | 6.0 MiB/s | 24.4 KiB | 00m00s [ 28/152] libattr-0:2.5.2-3.fc40.x86_64 100% | 5.9 MiB/s | 18.0 KiB | 00m00s [ 29/152] libselinux-0:3.6-4.fc40.x86_6 100% | 28.5 MiB/s | 87.5 KiB | 00m00s [ 30/152] coreutils-common-0:9.4-6.fc40 100% | 179.3 MiB/s | 2.2 MiB | 00m00s [ 31/152] pcre2-0:10.42-2.fc40.2.x86_64 100% | 76.7 MiB/s | 235.8 KiB | 00m00s [ 32/152] ansible-srpm-macros-0:1-14.fc 100% | 6.8 MiB/s | 20.8 KiB | 00m00s [ 33/152] efi-srpm-macros-0:5-11.fc40.n 100% | 10.9 MiB/s | 22.3 KiB | 00m00s [ 34/152] dwz-0:0.15-6.fc40.x86_64 100% | 26.9 MiB/s | 137.8 KiB | 00m00s [ 35/152] openssl-libs-1:3.2.1-2.fc40.x 100% | 177.4 MiB/s | 2.3 MiB | 00m00s [ 36/152] file-0:5.45-4.fc40.x86_64 100% | 8.0 MiB/s | 49.0 KiB | 00m00s [ 37/152] fonts-srpm-macros-1:2.0.5-14. 100% | 5.2 MiB/s | 26.5 KiB | 00m00s [ 38/152] fpc-srpm-macros-0:1.3-12.fc40 100% | 3.8 MiB/s | 7.8 KiB | 00m00s [ 39/152] ghc-srpm-macros-0:1.9-1.fc40. 100% | 4.3 MiB/s | 8.7 KiB | 00m00s [ 40/152] gnat-srpm-macros-0:6-5.fc40.n 100% | 4.3 MiB/s | 8.8 KiB | 00m00s [ 41/152] go-srpm-macros-0:3.5.0-1.fc40 100% | 9.0 MiB/s | 27.5 KiB | 00m00s [ 42/152] kernel-srpm-macros-0:1.0-23.f 100% | 4.8 MiB/s | 9.7 KiB | 00m00s [ 43/152] lua-srpm-macros-0:1-13.fc40.n 100% | 4.3 MiB/s | 8.7 KiB | 00m00s [ 44/152] ocaml-srpm-macros-0:9-3.fc40. 100% | 2.2 MiB/s | 9.1 KiB | 00m00s [ 45/152] openblas-srpm-macros-0:2-16.f 100% | 3.7 MiB/s | 7.5 KiB | 00m00s [ 46/152] package-notes-srpm-macros-0:0 100% | 4.9 MiB/s | 9.9 KiB | 00m00s [ 47/152] pyproject-srpm-macros-0:1.12. 100% | 6.7 MiB/s | 13.6 KiB | 00m00s [ 48/152] perl-srpm-macros-0:1-53.fc40. 100% | 4.1 MiB/s | 8.4 KiB | 00m00s [ 49/152] python-srpm-macros-0:3.12-7.f 100% | 7.8 MiB/s | 23.8 KiB | 00m00s [ 50/152] zig-srpm-macros-0:1-2.fc40.no 100% | 7.9 MiB/s | 8.0 KiB | 00m00s [ 51/152] rpm-0:4.19.1.1-1.fc40.x86_64 100% | 131.9 MiB/s | 540.1 KiB | 00m00s [ 52/152] zip-0:3.0-40.fc40.x86_64 100% | 43.1 MiB/s | 264.8 KiB | 00m00s [ 53/152] debugedit-0:5.0-14.fc40.x86_6 100% | 9.6 MiB/s | 78.7 KiB | 00m00s [ 54/152] elfutils-libelf-0:0.191-4.fc4 100% | 22.8 MiB/s | 209.8 KiB | 00m00s [ 55/152] elfutils-0:0.191-4.fc40.x86_6 100% | 39.9 MiB/s | 531.3 KiB | 00m00s [ 56/152] popt-0:1.19-6.fc40.x86_64 100% | 7.2 MiB/s | 66.7 KiB | 00m00s [ 57/152] readline-0:8.2-8.fc40.x86_64 100% | 69.4 MiB/s | 213.3 KiB | 00m00s [ 58/152] rpm-build-libs-0:4.19.1.1-1.f 100% | 46.4 MiB/s | 95.0 KiB | 00m00s [ 59/152] rpm-libs-0:4.19.1.1-1.fc40.x8 100% | 100.6 MiB/s | 308.9 KiB | 00m00s [ 60/152] xz-libs-1:5.4.6-3.fc40.x86_64 100% | 35.8 MiB/s | 110.0 KiB | 00m00s [ 61/152] glibc-common-0:2.39-13.fc40.x 100% | 94.2 MiB/s | 385.9 KiB | 00m00s [ 62/152] audit-libs-0:4.0.1-1.fc40.x86 100% | 40.8 MiB/s | 125.3 KiB | 00m00s [ 63/152] libsemanage-0:3.6-3.fc40.x86_ 100% | 37.9 MiB/s | 116.4 KiB | 00m00s [ 64/152] libxcrypt-0:4.4.36-5.fc40.x86 100% | 57.7 MiB/s | 118.1 KiB | 00m00s [ 65/152] setup-0:2.14.5-2.fc40.noarch 100% | 75.6 MiB/s | 154.7 KiB | 00m00s [ 66/152] libcap-ng-0:0.8.4-4.fc40.x86_ 100% | 31.9 MiB/s | 32.6 KiB | 00m00s [ 67/152] util-linux-core-0:2.40.1-1.fc 100% | 131.1 MiB/s | 537.0 KiB | 00m00s [ 68/152] libutempter-0:1.2.1-13.fc40.x 100% | 8.6 MiB/s | 26.4 KiB | 00m00s [ 69/152] zlib-ng-compat-0:2.1.6-2.fc40 100% | 37.6 MiB/s | 77.1 KiB | 00m00s [ 70/152] ncurses-base-0:6.4-12.2024012 100% | 28.9 MiB/s | 88.8 KiB | 00m00s [ 71/152] libsepol-0:3.6-3.fc40.x86_64 100% | 110.7 MiB/s | 340.1 KiB | 00m00s [ 72/152] pcre2-syntax-0:10.42-2.fc40.2 100% | 46.5 MiB/s | 142.7 KiB | 00m00s [ 73/152] ca-certificates-0:2023.2.62_v 100% | 140.3 MiB/s | 862.1 KiB | 00m00s [ 74/152] elfutils-libs-0:0.191-4.fc40. 100% | 126.9 MiB/s | 259.9 KiB | 00m00s [ 75/152] file-libs-0:5.45-4.fc40.x86_6 100% | 124.1 MiB/s | 762.7 KiB | 00m00s [ 76/152] elfutils-debuginfod-client-0: 100% | 9.4 MiB/s | 38.5 KiB | 00m00s [ 77/152] lua-libs-0:5.4.6-5.fc40.x86_6 100% | 42.9 MiB/s | 131.9 KiB | 00m00s [ 78/152] sqlite-libs-0:3.45.1-2.fc40.x 100% | 172.1 MiB/s | 705.1 KiB | 00m00s [ 79/152] elfutils-default-yama-scope-0 100% | 3.3 MiB/s | 13.5 KiB | 00m00s [ 80/152] basesystem-0:11-20.fc40.noarc 100% | 3.5 MiB/s | 7.2 KiB | 00m00s [ 81/152] libcap-0:2.69-8.fc40.x86_64 100% | 27.9 MiB/s | 85.7 KiB | 00m00s [ 82/152] glibc-gconv-extra-0:2.39-13.f 100% | 138.8 MiB/s | 1.7 MiB | 00m00s [ 83/152] libzstd-0:1.5.6-1.fc40.x86_64 100% | 37.7 MiB/s | 309.2 KiB | 00m00s [ 84/152] glibc-0:2.39-13.fc40.x86_64 100% | 95.8 MiB/s | 2.2 MiB | 00m00s [ 85/152] libgcc-0:14.1.1-4.fc40.x86_64 100% | 20.9 MiB/s | 128.6 KiB | 00m00s [ 86/152] rpm-sequoia-0:1.6.0-3.fc40.x8 100% | 82.6 MiB/s | 845.9 KiB | 00m00s [ 87/152] libgomp-0:14.1.1-4.fc40.x86_6 100% | 56.8 MiB/s | 349.2 KiB | 00m00s [ 88/152] jansson-0:2.13.1-9.fc40.x86_6 100% | 14.4 MiB/s | 44.2 KiB | 00m00s [ 89/152] zstd-0:1.5.6-1.fc40.x86_64 100% | 117.0 MiB/s | 479.4 KiB | 00m00s [ 90/152] lz4-libs-0:1.9.4-6.fc40.x86_6 100% | 10.9 MiB/s | 67.2 KiB | 00m00s [ 91/152] pkgconf-0:2.1.0-1.fc40.x86_64 100% | 10.6 MiB/s | 43.5 KiB | 00m00s [ 92/152] pkgconf-pkg-config-0:2.1.0-1. 100% | 1.6 MiB/s | 9.7 KiB | 00m00s [ 93/152] pkgconf-m4-0:2.1.0-1.fc40.noa 100% | 4.5 MiB/s | 13.9 KiB | 00m00s [ 94/152] libpkgconf-0:2.1.0-1.fc40.x86 100% | 12.3 MiB/s | 37.8 KiB | 00m00s [ 95/152] libarchive-0:3.7.2-4.fc40.x86 100% | 99.3 MiB/s | 406.7 KiB | 00m00s [ 96/152] curl-0:8.6.0-8.fc40.x86_64 100% | 58.7 MiB/s | 300.7 KiB | 00m00s [ 97/152] forge-srpm-macros-0:0.3.1-1.f 100% | 9.5 MiB/s | 19.5 KiB | 00m00s [ 98/152] qt5-srpm-macros-0:5.15.14-1.f 100% | 4.3 MiB/s | 8.8 KiB | 00m00s [ 99/152] qt6-srpm-macros-0:6.7.1-1.fc4 100% | 4.3 MiB/s | 8.9 KiB | 00m00s [100/152] rust-srpm-macros-0:26.3-1.fc4 100% | 12.2 MiB/s | 12.5 KiB | 00m00s [101/152] ed-0:1.20.2-1.fc40.x86_64 100% | 26.7 MiB/s | 81.9 KiB | 00m00s [102/152] crypto-policies-0:20240510-1. 100% | 32.2 MiB/s | 99.0 KiB | 00m00s [103/152] mpfr-0:4.2.1-4.fc40.x86_64 100% | 85.2 MiB/s | 348.9 KiB | 00m00s [104/152] libstdc++-0:14.1.1-4.fc40.x86 100% | 143.6 MiB/s | 882.3 KiB | 00m00s [105/152] p11-kit-0:0.25.3-4.fc40.x86_6 100% | 119.6 MiB/s | 489.8 KiB | 00m00s [106/152] libffi-0:3.4.4-7.fc40.x86_64 100% | 12.8 MiB/s | 39.4 KiB | 00m00s [107/152] libtasn1-0:4.19.0-6.fc40.x86_ 100% | 36.0 MiB/s | 73.7 KiB | 00m00s [108/152] p11-kit-trust-0:0.25.3-4.fc40 100% | 64.2 MiB/s | 131.5 KiB | 00m00s [109/152] libblkid-0:2.40.1-1.fc40.x86_ 100% | 60.7 MiB/s | 124.3 KiB | 00m00s [110/152] libmount-0:2.40.1-1.fc40.x86_ 100% | 75.5 MiB/s | 154.6 KiB | 00m00s [111/152] libuuid-0:2.40.1-1.fc40.x86_6 100% | 6.9 MiB/s | 28.4 KiB | 00m00s [112/152] systemd-libs-0:255.7-1.fc40.x 100% | 172.7 MiB/s | 707.2 KiB | 00m00s [113/152] libsmartcols-0:2.40.1-1.fc40. 100% | 16.3 MiB/s | 83.3 KiB | 00m00s [114/152] libfdisk-0:2.40.1-1.fc40.x86_ 100% | 51.9 MiB/s | 159.4 KiB | 00m00s [115/152] pam-0:1.6.1-3.fc40.x86_64 100% | 90.1 MiB/s | 553.4 KiB | 00m00s [116/152] pam-libs-0:1.6.1-3.fc40.x86_6 100% | 9.3 MiB/s | 57.1 KiB | 00m00s [117/152] authselect-0:1.5.0-5.fc40.x86 100% | 28.5 MiB/s | 146.2 KiB | 00m00s [118/152] gdbm-libs-1:1.23-6.fc40.x86_6 100% | 18.3 MiB/s | 56.2 KiB | 00m00s [119/152] libnsl2-0:2.0.1-1.fc40.x86_64 100% | 9.6 MiB/s | 29.6 KiB | 00m00s [120/152] libpwquality-0:1.4.5-9.fc40.x 100% | 39.0 MiB/s | 119.7 KiB | 00m00s [121/152] libtirpc-0:1.3.4-1.rc3.fc40.x 100% | 45.2 MiB/s | 92.5 KiB | 00m00s [122/152] authselect-libs-0:1.5.0-5.fc4 100% | 71.2 MiB/s | 218.6 KiB | 00m00s [123/152] cracklib-0:2.9.11-5.fc40.x86_ 100% | 30.1 MiB/s | 92.5 KiB | 00m00s [124/152] libcom_err-0:1.47.0-5.fc40.x8 100% | 24.8 MiB/s | 25.4 KiB | 00m00s [125/152] krb5-libs-0:1.21.2-5.fc40.x86 100% | 123.1 MiB/s | 756.1 KiB | 00m00s [126/152] keyutils-libs-0:1.6.3-3.fc40. 100% | 7.7 MiB/s | 31.5 KiB | 00m00s [127/152] libverto-0:0.3.2-8.fc40.x86_6 100% | 10.0 MiB/s | 20.5 KiB | 00m00s [128/152] libeconf-0:0.6.2-2.fc40.x86_6 100% | 31.2 MiB/s | 32.0 KiB | 00m00s [129/152] gdbm-1:1.23-6.fc40.x86_64 100% | 74.5 MiB/s | 152.5 KiB | 00m00s [130/152] fedora-repos-0:40-2.noarch 100% | 9.3 MiB/s | 9.5 KiB | 00m00s [131/152] libxml2-0:2.12.7-1.fc40.x86_6 100% | 133.9 MiB/s | 685.3 KiB | 00m00s [132/152] fedora-gpg-keys-0:40-2.noarch 100% | 43.0 MiB/s | 132.1 KiB | 00m00s [133/152] alternatives-0:1.27-1.fc40.x8 100% | 6.6 MiB/s | 40.7 KiB | 00m00s [134/152] fedora-release-0:40-39.noarch 100% | 3.5 MiB/s | 10.8 KiB | 00m00s [135/152] binutils-gold-0:2.41-37.fc40. 100% | 28.2 MiB/s | 780.6 KiB | 00m00s [136/152] gdb-minimal-0:14.2-2.fc40.x86 100% | 194.8 MiB/s | 4.3 MiB | 00m00s [137/152] xxhash-libs-0:0.8.2-2.fc40.x8 100% | 7.2 MiB/s | 36.9 KiB | 00m00s [138/152] libcurl-0:8.6.0-8.fc40.x86_64 100% | 112.3 MiB/s | 344.9 KiB | 00m00s [139/152] libbrotli-0:1.1.0-3.fc40.x86_ 100% | 82.6 MiB/s | 338.4 KiB | 00m00s [140/152] libidn2-0:2.3.7-1.fc40.x86_64 100% | 38.6 MiB/s | 118.7 KiB | 00m00s [141/152] libssh-0:0.10.6-5.fc40.x86_64 100% | 68.6 MiB/s | 210.6 KiB | 00m00s [142/152] libpsl-0:0.21.5-3.fc40.x86_64 100% | 12.5 MiB/s | 63.9 KiB | 00m00s [143/152] openldap-0:2.6.7-1.fc40.x86_6 100% | 82.8 MiB/s | 254.3 KiB | 00m00s [144/152] libunistring-0:1.1-7.fc40.x86 100% | 76.1 MiB/s | 545.5 KiB | 00m00s [145/152] publicsuffix-list-dafsa-0:202 100% | 11.3 MiB/s | 58.1 KiB | 00m00s [146/152] libssh-config-0:0.10.6-5.fc40 100% | 4.4 MiB/s | 9.0 KiB | 00m00s [147/152] libevent-0:2.1.12-12.fc40.x86 100% | 125.6 MiB/s | 257.2 KiB | 00m00s [148/152] cyrus-sasl-lib-0:2.1.28-19.fc 100% | 128.5 MiB/s | 789.3 KiB | 00m00s [149/152] libtool-ltdl-0:2.4.7-10.fc40. 100% | 8.8 MiB/s | 36.2 KiB | 00m00s [150/152] libnghttp2-0:1.59.0-3.fc40.x8 100% | 36.8 MiB/s | 75.3 KiB | 00m00s [151/152] fedora-release-identity-basic 100% | 11.3 MiB/s | 11.6 KiB | 00m00s [152/152] binutils-0:2.41-37.fc40.x86_6 100% | 38.3 MiB/s | 6.2 MiB | 00m00s -------------------------------------------------------------------------------- [152/152] Total 100% | 76.8 MiB/s | 52.7 MiB | 00m01s Running transaction Importing PGP key 0xA15B79CC: Userid : "Fedora (40) " Fingerprint: 115DF9AEF857853EE8445D0A0727707EA15B79CC From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-40-primary The key was successfully imported. [ 1/154] Verify package files 100% | 675.0 B/s | 152.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 >>> Stop pre-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 [ 2/154] Prepare transaction 100% | 4.0 KiB/s | 152.0 B | 00m00s [ 3/154] Installing libgcc-0:14.1.1-4. 100% | 133.0 MiB/s | 272.3 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.1.1-4.fc40.x86_64 >>> Stop post-install scriptlet: libgcc-0:14.1.1-4.fc40.x86_64 [ 4/154] Installing crypto-policies-0: 100% | 36.0 MiB/s | 184.5 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240510-1.gitd287a42.fc40 >>> Stop post-install scriptlet: crypto-policies-0:20240510-1.gitd287a42.fc40.no [ 5/154] Installing fedora-release-ide 100% | 890.6 KiB/s | 912.0 B | 00m00s [ 6/154] Installing fedora-gpg-keys-0: 100% | 55.2 MiB/s | 169.7 KiB | 00m00s [ 7/154] Installing fedora-repos-0:40- 100% | 5.6 MiB/s | 5.7 KiB | 00m00s [ 8/154] Installing fedora-release-com 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 9/154] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 10/154] Installing setup-0:2.14.5-2.f 100% | 54.5 MiB/s | 725.8 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.14.5-2.fc40.noarch >>> Stop post-install scriptlet: setup-0:2.14.5-2.fc40.noarch [ 11/154] Installing filesystem-0:3.18- 100% | 3.3 MiB/s | 212.4 KiB | 00m00s [ 12/154] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 13/154] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 14/154] Installing publicsuffix-list- 100% | 0.0 B/s | 68.3 KiB | 00m00s [ 15/154] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [ 16/154] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [ 17/154] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [ 18/154] Installing pkgconf-m4-0:2.1.0 100% | 0.0 B/s | 14.3 KiB | 00m00s [ 19/154] Installing pcre2-syntax-0:10. 100% | 232.0 MiB/s | 237.6 KiB | 00m00s [ 20/154] Installing ncurses-base-0:6.4 100% | 85.8 MiB/s | 351.6 KiB | 00m00s [ 21/154] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 22/154] Installing ncurses-libs-0:6.4 100% | 236.7 MiB/s | 969.7 KiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39-13.fc40.x86_64 >>> Stop pre-install scriptlet: glibc-0:2.39-13.fc40.x86_64 [ 23/154] Installing glibc-0:2.39-13.fc 100% | 236.3 MiB/s | 6.6 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39-13.fc40.x86_64 >>> Stop post-install scriptlet: glibc-0:2.39-13.fc40.x86_64 [ 24/154] Installing bash-0:5.2.26-3.fc 100% | 388.6 MiB/s | 8.2 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.x86_64 >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.x86_64 [ 25/154] Installing glibc-common-0:2.3 100% | 170.1 MiB/s | 1.0 MiB | 00m00s [ 26/154] Installing glibc-gconv-extra- 100% | 245.6 MiB/s | 7.9 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39-13.fc40.x86_64 >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39-13.fc40.x86_64 [ 27/154] Installing zlib-ng-compat-0:2 100% | 131.7 MiB/s | 134.8 KiB | 00m00s [ 28/154] Installing xz-libs-1:5.4.6-3. 100% | 206.0 MiB/s | 210.9 KiB | 00m00s [ 29/154] Installing bzip2-libs-0:1.0.8 100% | 79.9 MiB/s | 81.8 KiB | 00m00s [ 30/154] Installing popt-0:1.19-6.fc40 100% | 70.1 MiB/s | 143.5 KiB | 00m00s [ 31/154] Installing readline-0:8.2-8.f 100% | 239.9 MiB/s | 491.4 KiB | 00m00s [ 32/154] Installing libzstd-0:1.5.6-1. 100% | 385.3 MiB/s | 789.2 KiB | 00m00s [ 33/154] Installing elfutils-libelf-0: 100% | 389.8 MiB/s | 1.2 MiB | 00m00s [ 34/154] Installing libstdc++-0:14.1.1 100% | 345.3 MiB/s | 2.8 MiB | 00m00s [ 35/154] Installing libuuid-0:2.40.1-1 100% | 0.0 B/s | 38.4 KiB | 00m00s [ 36/154] Installing libblkid-0:2.40.1- 100% | 253.6 MiB/s | 259.7 KiB | 00m00s [ 37/154] Installing gmp-1:6.2.1-8.fc40 100% | 389.1 MiB/s | 796.8 KiB | 00m00s [ 38/154] Installing libattr-0:2.5.2-3. 100% | 0.0 B/s | 29.5 KiB | 00m00s [ 39/154] Installing libacl-0:2.3.2-1.f 100% | 0.0 B/s | 40.8 KiB | 00m00s [ 40/154] Installing libxcrypt-0:4.4.36 100% | 259.3 MiB/s | 265.5 KiB | 00m00s [ 41/154] Installing lz4-libs-0:1.9.4-6 100% | 127.4 MiB/s | 130.5 KiB | 00m00s [ 42/154] Installing gdbm-libs-1:1.23-6 100% | 120.7 MiB/s | 123.6 KiB | 00m00s [ 43/154] Installing libeconf-0:0.6.2-2 100% | 58.3 MiB/s | 59.6 KiB | 00m00s [ 44/154] Installing mpfr-0:4.2.1-4.fc4 100% | 271.4 MiB/s | 833.7 KiB | 00m00s [ 45/154] Installing gawk-0:5.3.0-3.fc4 100% | 288.0 MiB/s | 1.7 MiB | 00m00s [ 46/154] Installing dwz-0:0.15-6.fc40. 100% | 285.5 MiB/s | 292.3 KiB | 00m00s [ 47/154] Installing unzip-0:6.0-63.fc4 100% | 188.6 MiB/s | 386.3 KiB | 00m00s [ 48/154] Installing file-libs-0:5.45-4 100% | 662.0 MiB/s | 9.9 MiB | 00m00s [ 49/154] Installing file-0:5.45-4.fc40 100% | 102.6 MiB/s | 105.0 KiB | 00m00s [ 50/154] Installing pcre2-0:10.42-2.fc 100% | 312.0 MiB/s | 639.1 KiB | 00m00s [ 51/154] Installing grep-0:3.11-7.fc40 100% | 200.7 MiB/s | 1.0 MiB | 00m00s [ 52/154] Installing xz-1:5.4.6-3.fc40. 100% | 286.1 MiB/s | 2.0 MiB | 00m00s [ 53/154] Installing libcap-ng-0:0.8.4- 100% | 73.2 MiB/s | 75.0 KiB | 00m00s [ 54/154] Installing audit-libs-0:4.0.1 100% | 160.9 MiB/s | 329.4 KiB | 00m00s [ 55/154] Installing pam-libs-0:1.6.1-3 100% | 134.2 MiB/s | 137.4 KiB | 00m00s [ 56/154] Installing libcap-0:2.69-8.fc 100% | 109.8 MiB/s | 224.8 KiB | 00m00s [ 57/154] Installing systemd-libs-0:255 100% | 321.8 MiB/s | 1.9 MiB | 00m00s [ 58/154] Installing libsepol-0:3.6-3.f 100% | 261.4 MiB/s | 803.0 KiB | 00m00s [ 59/154] Installing libselinux-0:3.6-4 100% | 170.2 MiB/s | 174.3 KiB | 00m00s [ 60/154] Installing sed-0:4.9-1.fc40.x 100% | 212.3 MiB/s | 869.7 KiB | 00m00s [ 61/154] Installing findutils-1:4.9.0- 100% | 293.2 MiB/s | 1.5 MiB | 00m00s [ 62/154] Installing libmount-0:2.40.1- 100% | 344.5 MiB/s | 352.8 KiB | 00m00s [ 63/154] Installing lua-libs-0:5.4.6-5 100% | 275.7 MiB/s | 282.3 KiB | 00m00s [ 64/154] Installing jansson-0:2.13.1-9 100% | 87.6 MiB/s | 89.7 KiB | 00m00s [ 65/154] Installing libtasn1-0:4.19.0- 100% | 173.3 MiB/s | 177.5 KiB | 00m00s [ 66/154] Installing libsmartcols-0:2.4 100% | 177.3 MiB/s | 181.5 KiB | 00m00s [ 67/154] Installing libcom_err-0:1.47. 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 68/154] Installing alternatives-0:1.2 100% | 66.4 MiB/s | 68.0 KiB | 00m00s [ 69/154] Installing libunistring-0:1.1 100% | 346.1 MiB/s | 1.7 MiB | 00m00s [ 70/154] Installing libidn2-0:2.3.7-1. 100% | 163.6 MiB/s | 335.0 KiB | 00m00s [ 71/154] Installing libpsl-0:0.21.5-3. 100% | 79.7 MiB/s | 81.6 KiB | 00m00s [ 72/154] Installing util-linux-core-0: 100% | 247.7 MiB/s | 1.5 MiB | 00m00s [ 73/154] Installing tar-2:1.35-3.fc40. 100% | 368.8 MiB/s | 3.0 MiB | 00m00s [ 74/154] Installing libsemanage-0:3.6- 100% | 144.2 MiB/s | 295.3 KiB | 00m00s [ 75/154] Installing shadow-utils-2:4.1 100% | 154.5 MiB/s | 4.2 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.x86_64 >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.x86_64 [ 76/154] Installing libutempter-0:1.2. 100% | 58.3 MiB/s | 59.7 KiB | 00m00s [ 77/154] Installing zip-0:3.0-40.fc40. 100% | 230.2 MiB/s | 707.1 KiB | 00m00s [ 78/154] Installing gdbm-1:1.23-6.fc40 100% | 227.4 MiB/s | 465.8 KiB | 00m00s [ 79/154] Installing cyrus-sasl-lib-0:2 100% | 326.2 MiB/s | 2.3 MiB | 00m00s [ 80/154] Installing zstd-0:1.5.6-1.fc4 100% | 419.0 MiB/s | 1.7 MiB | 00m00s [ 81/154] Installing libfdisk-0:2.40.1- 100% | 355.5 MiB/s | 364.0 KiB | 00m00s [ 82/154] Installing bzip2-0:1.0.8-18.f 100% | 93.9 MiB/s | 96.2 KiB | 00m00s [ 83/154] Installing libxml2-0:2.12.7-1 100% | 340.1 MiB/s | 1.7 MiB | 00m00s [ 84/154] Installing sqlite-libs-0:3.45 100% | 350.3 MiB/s | 1.4 MiB | 00m00s [ 85/154] Installing elfutils-default-y 100% | 510.7 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-4.fc40.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-4.fc40.noar [ 86/154] Installing ed-0:1.20.2-1.fc40 100% | 145.7 MiB/s | 149.2 KiB | 00m00s [ 87/154] Installing patch-0:2.7.6-24.f 100% | 258.1 MiB/s | 264.3 KiB | 00m00s [ 88/154] Installing cpio-0:2.15-1.fc40 100% | 274.9 MiB/s | 1.1 MiB | 00m00s [ 89/154] Installing diffutils-0:3.10-5 100% | 317.2 MiB/s | 1.6 MiB | 00m00s [ 90/154] Installing libgomp-0:14.1.1-4 100% | 254.4 MiB/s | 520.9 KiB | 00m00s [ 91/154] Installing libpkgconf-0:2.1.0 100% | 73.6 MiB/s | 75.3 KiB | 00m00s [ 92/154] Installing pkgconf-0:2.1.0-1. 100% | 82.9 MiB/s | 84.9 KiB | 00m00s [ 93/154] Installing pkgconf-pkg-config 100% | 0.0 B/s | 1.8 KiB | 00m00s [ 94/154] Installing libffi-0:3.4.4-7.f 100% | 81.0 MiB/s | 83.0 KiB | 00m00s [ 95/154] Installing p11-kit-0:0.25.3-4 100% | 243.8 MiB/s | 2.2 MiB | 00m00s [ 96/154] Installing p11-kit-trust-0:0. 100% | 64.0 MiB/s | 393.1 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.x86_64 >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.x86_64 [ 97/154] Installing keyutils-libs-0:1. 100% | 54.5 MiB/s | 55.8 KiB | 00m00s [ 98/154] Installing libverto-0:0.3.2-8 100% | 30.5 MiB/s | 31.3 KiB | 00m00s [ 99/154] Installing xxhash-libs-0:0.8. 100% | 87.8 MiB/s | 89.9 KiB | 00m00s [100/154] Installing libbrotli-0:1.1.0- 100% | 270.8 MiB/s | 831.8 KiB | 00m00s [101/154] Installing libtool-ltdl-0:2.4 100% | 65.7 MiB/s | 67.3 KiB | 00m00s [102/154] Installing libnghttp2-0:1.59. 100% | 163.3 MiB/s | 167.2 KiB | 00m00s [103/154] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [104/154] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [105/154] Installing openblas-srpm-macr 100% | 0.0 B/s | 384.0 B | 00m00s [106/154] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [107/154] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [108/154] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [109/154] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 992.0 B | 00m00s [110/154] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [111/154] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [112/154] Installing coreutils-common-0 100% | 409.4 MiB/s | 11.5 MiB | 00m00s [113/154] Installing openssl-libs-1:3.2 100% | 409.9 MiB/s | 7.8 MiB | 00m00s [114/154] Installing coreutils-0:9.4-6. 100% | 290.7 MiB/s | 5.8 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.n >>> Stop pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noar [115/154] Installing ca-certificates-0: 100% | 3.9 MiB/s | 2.3 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40. >>> Stop post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noa [116/154] Installing krb5-libs-0:1.21.2 100% | 286.8 MiB/s | 2.3 MiB | 00m00s [117/154] Installing libtirpc-0:1.3.4-1 100% | 199.8 MiB/s | 204.6 KiB | 00m00s [118/154] Installing gzip-0:1.13-1.fc40 100% | 190.7 MiB/s | 390.6 KiB | 00m00s [119/154] Installing authselect-libs-0: 100% | 162.7 MiB/s | 833.2 KiB | 00m00s [120/154] Installing libarchive-0:3.7.2 100% | 298.4 MiB/s | 916.6 KiB | 00m00s [121/154] Installing authselect-0:1.5.0 100% | 77.1 MiB/s | 157.9 KiB | 00m00s [122/154] Installing cracklib-0:2.9.11- 100% | 81.5 MiB/s | 250.3 KiB | 00m00s [123/154] Installing libpwquality-0:1.4 100% | 105.0 MiB/s | 430.1 KiB | 00m00s [124/154] Installing libnsl2-0:2.0.1-1. 100% | 57.7 MiB/s | 59.0 KiB | 00m00s [125/154] Installing pam-0:1.6.1-3.fc40 100% | 151.6 MiB/s | 1.8 MiB | 00m00s [126/154] Installing libssh-0:0.10.6-5. 100% | 249.7 MiB/s | 511.4 KiB | 00m00s [127/154] Installing rpm-sequoia-0:1.6. 100% | 368.4 MiB/s | 2.2 MiB | 00m00s [128/154] Installing rpm-libs-0:4.19.1. 100% | 347.4 MiB/s | 711.4 KiB | 00m00s [129/154] Installing libevent-0:2.1.12- 100% | 292.8 MiB/s | 899.4 KiB | 00m00s [130/154] Installing openldap-0:2.6.7-1 100% | 208.0 MiB/s | 638.9 KiB | 00m00s [131/154] Installing libcurl-0:8.6.0-8. 100% | 251.9 MiB/s | 773.9 KiB | 00m00s [132/154] Installing elfutils-libs-0:0. 100% | 316.4 MiB/s | 648.0 KiB | 00m00s [133/154] Installing elfutils-debuginfo 100% | 65.3 MiB/s | 66.9 KiB | 00m00s [134/154] Installing binutils-0:2.41-37 100% | 382.7 MiB/s | 26.4 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.41-37.fc40.x86_64 >>> Stop post-install scriptlet: binutils-0:2.41-37.fc40.x86_64 [135/154] Installing binutils-gold-0:2. 100% | 184.6 MiB/s | 2.0 MiB | 00m00s >>> Running post-install scriptlet: binutils-gold-0:2.41-37.fc40.x86_64 >>> Stop post-install scriptlet: binutils-gold-0:2.41-37.fc40.x86_64 [136/154] Installing elfutils-0:0.191-4 100% | 365.2 MiB/s | 2.6 MiB | 00m00s [137/154] Installing gdb-minimal-0:14.2 100% | 396.9 MiB/s | 12.7 MiB | 00m00s [138/154] Installing debugedit-0:5.0-14 100% | 197.0 MiB/s | 201.7 KiB | 00m00s [139/154] Installing rpm-build-libs-0:4 100% | 194.5 MiB/s | 199.2 KiB | 00m00s [140/154] Installing curl-0:8.6.0-8.fc4 100% | 72.0 MiB/s | 736.9 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 >>> Stop pre-install scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 [141/154] Installing rpm-0:4.19.1.1-1.f 100% | 171.2 MiB/s | 2.4 MiB | 00m00s [142/154] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [143/154] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [144/154] Installing zig-srpm-macros-0: 100% | 1.6 MiB/s | 1.7 KiB | 00m00s [145/154] Installing python-srpm-macros 100% | 0.0 B/s | 51.3 KiB | 00m00s [146/154] Installing fonts-srpm-macros- 100% | 0.0 B/s | 56.5 KiB | 00m00s [147/154] Installing go-srpm-macros-0:3 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [148/154] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.3 KiB | 00m00s [149/154] Installing redhat-rpm-config- 100% | 93.6 MiB/s | 191.7 KiB | 00m00s [150/154] Installing rpm-build-0:4.19.1 100% | 88.8 MiB/s | 182.0 KiB | 00m00s [151/154] Installing pyproject-srpm-mac 100% | 2.0 MiB/s | 2.1 KiB | 00m00s [152/154] Installing util-linux-0:2.40. 100% | 170.2 MiB/s | 3.7 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc40.x86_64 >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc40.x86_64 [153/154] Installing which-0:2.21-41.fc 100% | 80.5 MiB/s | 82.4 KiB | 00m00s [154/154] Installing info-0:7.1-2.fc40. 100% | 428.9 KiB/s | 358.2 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 >>> Stop post-transaction scriptlet: filesystem-0:3.18-8.fc40.x86_64 >>> Running post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.f >>> Stop post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40 >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc40.x86_64 >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc40.x86_64 >>> Running post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 >>> Stop post-transaction scriptlet: rpm-0:4.19.1.1-1.fc40.x86_64 >>> Running trigger-install scriptlet: glibc-common-0:2.39-13.fc40.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39-13.fc40.x86_64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.27-1.fc40.x86_64 ansible-srpm-macros-1-14.fc40.noarch audit-libs-4.0.1-1.fc40.x86_64 authselect-1.5.0-5.fc40.x86_64 authselect-libs-1.5.0-5.fc40.x86_64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.x86_64 binutils-2.41-37.fc40.x86_64 binutils-gold-2.41-37.fc40.x86_64 bzip2-1.0.8-18.fc40.x86_64 bzip2-libs-1.0.8-18.fc40.x86_64 ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.4-6.fc40.x86_64 coreutils-common-9.4-6.fc40.x86_64 cpio-2.15-1.fc40.x86_64 cracklib-2.9.11-5.fc40.x86_64 crypto-policies-20240510-1.gitd287a42.fc40.noarch curl-8.6.0-8.fc40.x86_64 cyrus-sasl-lib-2.1.28-19.fc40.x86_64 debugedit-5.0-14.fc40.x86_64 diffutils-3.10-5.fc40.x86_64 dwz-0.15-6.fc40.x86_64 ed-1.20.2-1.fc40.x86_64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-4.fc40.x86_64 elfutils-debuginfod-client-0.191-4.fc40.x86_64 elfutils-default-yama-scope-0.191-4.fc40.noarch elfutils-libelf-0.191-4.fc40.x86_64 elfutils-libs-0.191-4.fc40.x86_64 fedora-gpg-keys-40-2.noarch fedora-release-40-39.noarch fedora-release-common-40-39.noarch fedora-release-identity-basic-40-39.noarch fedora-repos-40-2.noarch file-5.45-4.fc40.x86_64 file-libs-5.45-4.fc40.x86_64 filesystem-3.18-8.fc40.x86_64 findutils-4.9.0-8.fc40.x86_64 fonts-srpm-macros-2.0.5-14.fc40.noarch forge-srpm-macros-0.3.1-1.fc40.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.x86_64 gdb-minimal-14.2-2.fc40.x86_64 gdbm-1.23-6.fc40.x86_64 gdbm-libs-1.23-6.fc40.x86_64 ghc-srpm-macros-1.9-1.fc40.noarch glibc-2.39-13.fc40.x86_64 glibc-common-2.39-13.fc40.x86_64 glibc-gconv-extra-2.39-13.fc40.x86_64 glibc-minimal-langpack-2.39-13.fc40.x86_64 gmp-6.2.1-8.fc40.x86_64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.5.0-1.fc40.noarch gpg-pubkey-a15b79cc-63d04c2c grep-3.11-7.fc40.x86_64 gzip-1.13-1.fc40.x86_64 info-7.1-2.fc40.x86_64 jansson-2.13.1-9.fc40.x86_64 kernel-srpm-macros-1.0-23.fc40.noarch keyutils-libs-1.6.3-3.fc40.x86_64 krb5-libs-1.21.2-5.fc40.x86_64 libacl-2.3.2-1.fc40.x86_64 libarchive-3.7.2-4.fc40.x86_64 libattr-2.5.2-3.fc40.x86_64 libblkid-2.40.1-1.fc40.x86_64 libbrotli-1.1.0-3.fc40.x86_64 libcap-2.69-8.fc40.x86_64 libcap-ng-0.8.4-4.fc40.x86_64 libcom_err-1.47.0-5.fc40.x86_64 libcurl-8.6.0-8.fc40.x86_64 libeconf-0.6.2-2.fc40.x86_64 libevent-2.1.12-12.fc40.x86_64 libfdisk-2.40.1-1.fc40.x86_64 libffi-3.4.4-7.fc40.x86_64 libgcc-14.1.1-4.fc40.x86_64 libgomp-14.1.1-4.fc40.x86_64 libidn2-2.3.7-1.fc40.x86_64 libmount-2.40.1-1.fc40.x86_64 libnghttp2-1.59.0-3.fc40.x86_64 libnsl2-2.0.1-1.fc40.x86_64 libpkgconf-2.1.0-1.fc40.x86_64 libpsl-0.21.5-3.fc40.x86_64 libpwquality-1.4.5-9.fc40.x86_64 libselinux-3.6-4.fc40.x86_64 libsemanage-3.6-3.fc40.x86_64 libsepol-3.6-3.fc40.x86_64 libsmartcols-2.40.1-1.fc40.x86_64 libssh-0.10.6-5.fc40.x86_64 libssh-config-0.10.6-5.fc40.noarch libstdc++-14.1.1-4.fc40.x86_64 libtasn1-4.19.0-6.fc40.x86_64 libtirpc-1.3.4-1.rc3.fc40.x86_64 libtool-ltdl-2.4.7-10.fc40.x86_64 libunistring-1.1-7.fc40.x86_64 libutempter-1.2.1-13.fc40.x86_64 libuuid-2.40.1-1.fc40.x86_64 libverto-0.3.2-8.fc40.x86_64 libxcrypt-4.4.36-5.fc40.x86_64 libxml2-2.12.7-1.fc40.x86_64 libzstd-1.5.6-1.fc40.x86_64 lua-libs-5.4.6-5.fc40.x86_64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.x86_64 mpfr-4.2.1-4.fc40.x86_64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.x86_64 ocaml-srpm-macros-9-3.fc40.noarch openblas-srpm-macros-2-16.fc40.noarch openldap-2.6.7-1.fc40.x86_64 openssl-libs-3.2.1-2.fc40.x86_64 p11-kit-0.25.3-4.fc40.x86_64 p11-kit-trust-0.25.3-4.fc40.x86_64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc40.x86_64 pam-libs-1.6.1-3.fc40.x86_64 patch-2.7.6-24.fc40.x86_64 pcre2-10.42-2.fc40.2.x86_64 pcre2-syntax-10.42-2.fc40.2.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.0-1.fc40.x86_64 pkgconf-m4-2.1.0-1.fc40.noarch pkgconf-pkg-config-2.1.0-1.fc40.x86_64 popt-1.19-6.fc40.x86_64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.0-1.fc40.noarch python-srpm-macros-3.12-7.fc40.noarch qt5-srpm-macros-5.15.14-1.fc40.noarch qt6-srpm-macros-6.7.1-1.fc40.noarch readline-8.2-8.fc40.x86_64 redhat-rpm-config-286-1.fc40.noarch rpm-4.19.1.1-1.fc40.x86_64 rpm-build-4.19.1.1-1.fc40.x86_64 rpm-build-libs-4.19.1.1-1.fc40.x86_64 rpm-libs-4.19.1.1-1.fc40.x86_64 rpm-sequoia-1.6.0-3.fc40.x86_64 rust-srpm-macros-26.3-1.fc40.noarch sed-4.9-1.fc40.x86_64 setup-2.14.5-2.fc40.noarch shadow-utils-4.15.1-3.fc40.x86_64 sqlite-libs-3.45.1-2.fc40.x86_64 systemd-libs-255.7-1.fc40.x86_64 tar-1.35-3.fc40.x86_64 unzip-6.0-63.fc40.x86_64 util-linux-2.40.1-1.fc40.x86_64 util-linux-core-2.40.1-1.fc40.x86_64 which-2.21-41.fc40.x86_64 xxhash-libs-0.8.2-2.fc40.x86_64 xz-5.4.6-3.fc40.x86_64 xz-libs-5.4.6-3.fc40.x86_64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.x86_64 zlib-ng-compat-2.1.6-2.fc40.x86_64 zstd-1.5.6-1.fc40.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-40-x86_64-1718153932.167441/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-x86_64-1718153932.167441/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-_ponjyw7/cocotb/cocotb.spec) Config(child) 0 minutes 32 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm) Config(fedora-40-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-bootstrap-1718153932.167441/root. INFO: reusing tmpfs at /var/lib/mock/fedora-40-x86_64-bootstrap-1718153932.167441/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-x86_64-1718153932.167441/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.x86_64 rpm-sequoia-1.6.0-3.fc40.x86_64 python3-dnf-4.19.2-1.fc40.noarch yum-4.19.2-1.fc40.noarch dnf5-5.1.17-1.fc40.x86_64 dnf5-plugins-5.1.17-1.fc40.x86_64 Finish: chroot init Start: build phase for cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Start: build setup for cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Updating and loading repositories: updates 100% | 608.4 KiB/s | 26.8 KiB | 00m00s fedora 100% | 462.4 KiB/s | 29.1 KiB | 00m00s Copr repository 100% | 139.0 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_ML 100% | 138.9 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 138.4 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.1 MiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: gcc-c++ x86_64 14.1.1-4.fc40 updates 38.1 MiB git x86_64 2.45.2-2.fc40 updates 85.2 KiB make x86_64 1:4.4.1-6.fc40 fedora 1.8 MiB python3-devel x86_64 3.12.3-2.fc40 updates 1.2 MiB python3-setuptools noarch 69.0.3-3.fc40 fedora 7.1 MiB Installing dependencies: annobin-docs noarch 12.51-1.fc40 updates 95.7 KiB annobin-plugin-gcc x86_64 12.51-1.fc40 updates 970.9 KiB cpp x86_64 14.1.1-4.fc40 updates 35.0 MiB expat x86_64 2.6.2-1.fc40 updates 280.8 KiB gc x86_64 8.2.2-6.fc40 fedora 258.7 KiB gcc x86_64 14.1.1-4.fc40 updates 104.0 MiB gcc-plugin-annobin x86_64 14.1.1-4.fc40 updates 57.1 KiB git-core x86_64 2.45.2-2.fc40 updates 21.9 MiB git-core-doc noarch 2.45.2-2.fc40 updates 16.9 MiB glibc-devel x86_64 2.39-13.fc40 updates 35.8 KiB glibc-headers-x86 noarch 2.39-13.fc40 updates 2.2 MiB groff-base x86_64 1.23.0-6.fc40 fedora 3.8 MiB guile30 x86_64 3.0.7-12.fc40 fedora 51.5 MiB kernel-headers x86_64 6.8.3-300.fc40 fedora 6.2 MiB less x86_64 643-4.fc40 fedora 368.6 KiB libb2 x86_64 0.98.1-11.fc40 fedora 42.2 KiB libcbor x86_64 0.11.0-1.fc40 fedora 73.9 KiB libedit x86_64 3.1-51.20240517cvs.fc40 updates 243.9 KiB libfido2 x86_64 1.14.0-4.fc40 fedora 237.8 KiB libmpc x86_64 1.3.1-5.fc40 fedora 164.7 KiB libstdc++-devel x86_64 14.1.1-4.fc40 updates 15.4 MiB libxcrypt-devel x86_64 4.4.36-5.fc40 fedora 30.3 KiB mpdecimal x86_64 2.5.1-9.fc40 fedora 200.9 KiB ncurses x86_64 6.4-12.20240127.fc40 fedora 621.0 KiB openssh x86_64 9.6p1-1.fc40.2 fedora 1.8 MiB openssh-clients x86_64 9.6p1-1.fc40.2 fedora 2.6 MiB perl-AutoLoader noarch 5.74-506.fc40 fedora 20.5 KiB perl-B x86_64 1.88-506.fc40 fedora 492.4 KiB perl-Carp noarch 1.54-502.fc40 fedora 46.5 KiB perl-Class-Struct noarch 0.68-506.fc40 fedora 25.4 KiB perl-Data-Dumper x86_64 2.188-503.fc40 fedora 111.7 KiB perl-Digest noarch 1.20-502.fc40 fedora 35.2 KiB perl-Digest-MD5 x86_64 2.59-3.fc40 fedora 59.7 KiB perl-DynaLoader x86_64 1.54-506.fc40 fedora 32.1 KiB perl-Encode x86_64 4:3.21-505.fc40 fedora 4.7 MiB perl-Errno x86_64 1.37-506.fc40 fedora 8.3 KiB perl-Error noarch 1:0.17029-15.fc40 fedora 77.2 KiB perl-Exporter noarch 5.78-3.fc40 fedora 54.2 KiB perl-Fcntl x86_64 1.15-506.fc40 fedora 24.6 KiB perl-File-Basename noarch 2.86-506.fc40 fedora 14.0 KiB perl-File-Find noarch 1.43-506.fc40 fedora 41.9 KiB perl-File-Path noarch 2.18-503.fc40 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 fedora 162.3 KiB perl-File-stat noarch 1.13-506.fc40 fedora 12.7 KiB perl-FileHandle noarch 2.05-506.fc40 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.57-4.fc40 updates 144.1 KiB perl-Getopt-Std noarch 1.13-506.fc40 fedora 11.1 KiB perl-Git noarch 2.45.2-2.fc40 updates 64.0 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 fedora 152.1 KiB perl-IO x86_64 1.52-506.fc40 fedora 151.0 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 fedora 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 fedora 685.0 KiB perl-IPC-Open3 noarch 1.22-506.fc40 fedora 22.4 KiB perl-MIME-Base64 x86_64 3.16-503.fc40 fedora 46.1 KiB perl-Mozilla-CA noarch 20231213-3.fc40 fedora 9.1 KiB perl-Net-SSLeay x86_64 1.94-3.fc40 fedora 1.3 MiB perl-POSIX x86_64 2.13-506.fc40 fedora 229.0 KiB perl-PathTools x86_64 3.89-502.fc40 fedora 179.6 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 fedora 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 fedora 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc40 updates 84.7 KiB perl-Scalar-List-Utils x86_64 5:1.63-503.fc40 fedora 145.5 KiB perl-SelectSaver noarch 1.02-506.fc40 fedora 2.2 KiB perl-Socket x86_64 4:2.038-1.fc40 updates 124.0 KiB perl-Storable x86_64 1:3.32-502.fc40 fedora 232.3 KiB perl-Symbol noarch 1.09-506.fc40 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 fedora 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 fedora 29.3 KiB perl-TermReadKey x86_64 2.38-21.fc40 fedora 64.0 KiB perl-Text-ParseWords noarch 3.31-502.fc40 fedora 13.5 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc40 fedora 22.5 KiB perl-Time-Local noarch 2:1.350-5.fc40 fedora 68.9 KiB perl-URI noarch 5.28-1.fc40 updates 240.2 KiB perl-base noarch 2.27-506.fc40 fedora 12.5 KiB perl-constant noarch 1.33-503.fc40 fedora 26.2 KiB perl-if noarch 0.61.000-506.fc40 fedora 5.8 KiB perl-interpreter x86_64 4:5.38.2-506.fc40 fedora 119.8 KiB perl-lib x86_64 0.65-506.fc40 fedora 8.5 KiB perl-libnet noarch 3.15-503.fc40 fedora 289.0 KiB perl-libs x86_64 4:5.38.2-506.fc40 fedora 9.8 MiB perl-locale noarch 1.10-506.fc40 fedora 6.2 KiB perl-mro x86_64 1.28-506.fc40 fedora 41.6 KiB perl-overload noarch 1.37-506.fc40 fedora 71.5 KiB perl-overloading noarch 0.02-506.fc40 fedora 4.8 KiB perl-parent noarch 1:0.241-502.fc40 fedora 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 fedora 308.1 KiB perl-vars noarch 1.05-506.fc40 fedora 3.9 KiB pyproject-rpm-macros noarch 1.12.0-1.fc40 fedora 98.8 KiB python-pip-wheel noarch 23.3.2-1.fc40 fedora 1.5 MiB python-rpm-macros noarch 3.12-7.fc40 fedora 22.1 KiB python3 x86_64 3.12.3-2.fc40 updates 31.5 KiB python3-libs x86_64 3.12.3-2.fc40 updates 40.9 MiB python3-packaging noarch 23.2-4.fc40 fedora 421.1 KiB python3-rpm-generators noarch 14-10.fc40 fedora 81.7 KiB python3-rpm-macros noarch 3.12-7.fc40 fedora 6.4 KiB tzdata noarch 2024a-5.fc40 updates 1.6 MiB Transaction Summary: Installing: 102 packages Total size of inbound packages is 109 MiB. Need to download 109 MiB. After this operation 380 MiB will be used (install 380 MiB, remove 0 B). [ 1/102] make-1:4.4.1-6.fc40.x86_64 100% | 44.1 MiB/s | 587.6 KiB | 00m00s [ 2/102] git-0:2.45.2-2.fc40.x86_64 100% | 25.8 MiB/s | 52.9 KiB | 00m00s [ 3/102] python3-devel-0:3.12.3-2.fc40 100% | 76.4 MiB/s | 313.0 KiB | 00m00s [ 4/102] python3-setuptools-0:69.0.3-3 100% | 43.7 MiB/s | 1.5 MiB | 00m00s [ 5/102] gcc-c++-0:14.1.1-4.fc40.x86_6 100% | 236.0 MiB/s | 14.2 MiB | 00m00s [ 6/102] guile30-0:3.0.7-12.fc40.x86_6 100% | 159.8 MiB/s | 8.1 MiB | 00m00s [ 7/102] libmpc-0:1.3.1-5.fc40.x86_64 100% | 6.3 MiB/s | 71.1 KiB | 00m00s [ 8/102] git-core-doc-0:2.45.2-2.fc40. 100% | 100.2 MiB/s | 2.9 MiB | 00m00s [ 9/102] git-core-0:2.45.2-2.fc40.x86_ 100% | 113.9 MiB/s | 4.7 MiB | 00m00s [ 10/102] perl-Git-0:2.45.2-2.fc40.noar 100% | 3.2 MiB/s | 39.6 KiB | 00m00s [ 11/102] perl-File-Basename-0:2.86-506 100% | 8.6 MiB/s | 17.6 KiB | 00m00s [ 12/102] perl-File-Find-0:1.43-506.fc4 100% | 8.4 MiB/s | 25.7 KiB | 00m00s [ 13/102] perl-IPC-Open3-0:1.22-506.fc4 100% | 10.9 MiB/s | 22.3 KiB | 00m00s [ 14/102] perl-PathTools-0:3.89-502.fc4 100% | 21.3 MiB/s | 87.4 KiB | 00m00s [ 15/102] perl-TermReadKey-0:2.38-21.fc 100% | 6.9 MiB/s | 35.3 KiB | 00m00s [ 16/102] perl-interpreter-4:5.38.2-506 100% | 17.6 MiB/s | 72.3 KiB | 00m00s [ 17/102] perl-lib-0:0.65-506.fc40.x86_ 100% | 3.8 MiB/s | 15.4 KiB | 00m00s [ 18/102] gc-0:8.2.2-6.fc40.x86_64 100% | 15.4 MiB/s | 110.2 KiB | 00m00s [ 19/102] cpp-0:14.1.1-4.fc40.x86_64 100% | 105.7 MiB/s | 11.9 MiB | 00m00s [ 20/102] python3-libs-0:3.12.3-2.fc40. 100% | 69.7 MiB/s | 9.1 MiB | 00m00s [ 21/102] less-0:643-4.fc40.x86_64 100% | 17.0 MiB/s | 174.1 KiB | 00m00s [ 22/102] perl-Error-1:0.17029-15.fc40. 100% | 7.9 MiB/s | 40.4 KiB | 00m00s [ 23/102] openssh-clients-0:9.6p1-1.fc4 100% | 72.9 MiB/s | 746.5 KiB | 00m00s [ 24/102] gcc-0:14.1.1-4.fc40.x86_64 100% | 135.8 MiB/s | 37.1 MiB | 00m00s [ 25/102] perl-constant-0:1.33-503.fc40 100% | 616.6 KiB/s | 22.8 KiB | 00m00s [ 26/102] perl-Exporter-0:5.78-3.fc40.n 100% | 715.7 KiB/s | 30.8 KiB | 00m00s [ 27/102] perl-Carp-0:1.54-502.fc40.noa 100% | 7.0 MiB/s | 28.7 KiB | 00m00s [ 28/102] perl-Fcntl-0:1.15-506.fc40.x8 100% | 10.1 MiB/s | 20.6 KiB | 00m00s [ 29/102] perl-IO-0:1.52-506.fc40.x86_6 100% | 40.4 MiB/s | 82.7 KiB | 00m00s [ 30/102] perl-Symbol-0:1.09-506.fc40.n 100% | 14.3 MiB/s | 14.6 KiB | 00m00s [ 31/102] perl-POSIX-0:2.13-506.fc40.x8 100% | 31.5 MiB/s | 96.9 KiB | 00m00s [ 32/102] perl-Errno-0:1.37-506.fc40.x8 100% | 5.0 MiB/s | 15.4 KiB | 00m00s [ 33/102] perl-Scalar-List-Utils-5:1.63 100% | 23.7 MiB/s | 72.9 KiB | 00m00s [ 34/102] perl-DynaLoader-0:1.54-506.fc 100% | 12.9 MiB/s | 26.5 KiB | 00m00s [ 35/102] perl-vars-0:1.05-506.fc40.noa 100% | 13.1 MiB/s | 13.4 KiB | 00m00s [ 36/102] mpdecimal-0:2.5.1-9.fc40.x86_ 100% | 28.8 MiB/s | 88.6 KiB | 00m00s [ 37/102] libb2-0:0.98.1-11.fc40.x86_64 100% | 8.3 MiB/s | 25.5 KiB | 00m00s [ 38/102] libfido2-0:1.14.0-4.fc40.x86_ 100% | 19.1 MiB/s | 97.6 KiB | 00m00s [ 39/102] python-pip-wheel-0:23.3.2-1.f 100% | 183.8 MiB/s | 1.5 MiB | 00m00s [ 40/102] perl-libs-4:5.38.2-506.fc40.x 100% | 116.9 MiB/s | 2.3 MiB | 00m00s [ 41/102] openssh-0:9.6p1-1.fc40.2.x86_ 100% | 51.9 MiB/s | 425.1 KiB | 00m00s [ 42/102] perl-overload-0:1.37-506.fc40 100% | 9.0 MiB/s | 46.0 KiB | 00m00s [ 43/102] perl-File-stat-0:1.13-506.fc4 100% | 4.3 MiB/s | 17.6 KiB | 00m00s [ 44/102] perl-locale-0:1.10-506.fc40.n 100% | 6.9 MiB/s | 14.1 KiB | 00m00s [ 45/102] perl-SelectSaver-0:1.02-506.f 100% | 5.9 MiB/s | 12.2 KiB | 00m00s [ 46/102] libcbor-0:0.11.0-1.fc40.x86_6 100% | 32.5 MiB/s | 33.3 KiB | 00m00s [ 47/102] perl-overloading-0:0.02-506.f 100% | 13.0 MiB/s | 13.3 KiB | 00m00s [ 48/102] perl-mro-0:1.28-506.fc40.x86_ 100% | 9.5 MiB/s | 29.3 KiB | 00m00s [ 49/102] gcc-plugin-annobin-0:14.1.1-4 100% | 12.4 MiB/s | 50.8 KiB | 00m00s [ 50/102] pyproject-rpm-macros-0:1.12.0 100% | 13.5 MiB/s | 41.4 KiB | 00m00s [ 51/102] perl-Class-Struct-0:0.68-506. 100% | 4.4 MiB/s | 22.5 KiB | 00m00s [ 52/102] python-rpm-macros-0:3.12-7.fc 100% | 8.8 MiB/s | 18.0 KiB | 00m00s [ 53/102] python3-rpm-generators-0:14-1 100% | 9.6 MiB/s | 29.6 KiB | 00m00s [ 54/102] python3-rpm-macros-0:3.12-7.f 100% | 3.1 MiB/s | 12.8 KiB | 00m00s [ 55/102] python3-packaging-0:23.2-4.fc 100% | 40.8 MiB/s | 125.2 KiB | 00m00s [ 56/102] python3-0:3.12.3-2.fc40.x86_6 100% | 6.6 MiB/s | 27.2 KiB | 00m00s [ 57/102] perl-Getopt-Std-0:1.13-506.fc 100% | 7.9 MiB/s | 16.1 KiB | 00m00s [ 58/102] perl-Encode-4:3.21-505.fc40.x 100% | 151.0 MiB/s | 1.1 MiB | 00m00s [ 59/102] perl-MIME-Base64-0:3.16-503.f 100% | 5.8 MiB/s | 29.7 KiB | 00m00s [ 60/102] perl-Storable-1:3.32-502.fc40 100% | 13.7 MiB/s | 98.2 KiB | 00m00s [ 61/102] perl-Socket-4:2.038-1.fc40.x8 100% | 17.8 MiB/s | 54.8 KiB | 00m00s [ 62/102] perl-parent-1:0.241-502.fc40. 100% | 3.6 MiB/s | 14.7 KiB | 00m00s [ 63/102] perl-Getopt-Long-1:2.57-4.fc4 100% | 61.9 MiB/s | 63.4 KiB | 00m00s [ 64/102] perl-Text-ParseWords-0:3.31-5 100% | 8.0 MiB/s | 16.3 KiB | 00m00s [ 65/102] perl-base-0:2.27-506.fc40.noa 100% | 8.1 MiB/s | 16.6 KiB | 00m00s [ 66/102] libedit-0:3.1-51.20240517cvs. 100% | 34.2 MiB/s | 105.0 KiB | 00m00s [ 67/102] expat-0:2.6.2-1.fc40.x86_64 100% | 36.8 MiB/s | 113.1 KiB | 00m00s [ 68/102] tzdata-0:2024a-5.fc40.noarch 100% | 77.7 MiB/s | 716.1 KiB | 00m00s [ 69/102] annobin-plugin-gcc-0:12.51-1. 100% | 93.8 MiB/s | 960.8 KiB | 00m00s [ 70/102] annobin-docs-0:12.51-1.fc40.n 100% | 17.4 MiB/s | 89.2 KiB | 00m00s [ 71/102] libstdc++-devel-0:14.1.1-4.fc 100% | 161.4 MiB/s | 2.7 MiB | 00m00s [ 72/102] glibc-devel-0:2.39-13.fc40.x8 100% | 18.3 MiB/s | 112.3 KiB | 00m00s [ 73/102] glibc-headers-x86-0:2.39-13.f 100% | 84.6 MiB/s | 606.1 KiB | 00m00s [ 74/102] libxcrypt-devel-0:4.4.36-5.fc 100% | 14.0 MiB/s | 28.6 KiB | 00m00s [ 75/102] perl-Pod-Usage-4:2.03-504.fc4 100% | 38.9 MiB/s | 39.8 KiB | 00m00s [ 76/102] perl-Pod-Perldoc-0:3.28.01-50 100% | 41.8 MiB/s | 85.6 KiB | 00m00s [ 77/102] perl-podlators-1:5.01-502.fc4 100% | 61.3 MiB/s | 125.5 KiB | 00m00s [ 78/102] perl-File-Temp-1:0.231.100-50 100% | 14.4 MiB/s | 59.0 KiB | 00m00s [ 79/102] groff-base-0:1.23.0-6.fc40.x8 100% | 156.9 MiB/s | 1.1 MiB | 00m00s [ 80/102] kernel-headers-0:6.8.3-300.fc 100% | 99.5 MiB/s | 1.6 MiB | 00m00s [ 81/102] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 9.0 MiB/s | 55.6 KiB | 00m00s [ 82/102] perl-Pod-Simple-1:3.45-6.fc40 100% | 42.7 MiB/s | 218.5 KiB | 00m00s [ 83/102] perl-Term-ANSIColor-0:5.01-50 100% | 15.5 MiB/s | 47.6 KiB | 00m00s [ 84/102] perl-File-Path-0:2.18-503.fc4 100% | 34.2 MiB/s | 35.0 KiB | 00m00s [ 85/102] perl-Term-Cap-0:1.18-503.fc40 100% | 10.7 MiB/s | 21.9 KiB | 00m00s [ 86/102] perl-Mozilla-CA-0:20231213-3. 100% | 6.8 MiB/s | 13.9 KiB | 00m00s [ 87/102] perl-IO-Socket-SSL-0:2.085-1. 100% | 74.4 MiB/s | 228.6 KiB | 00m00s [ 88/102] perl-Net-SSLeay-0:1.94-3.fc40 100% | 94.0 MiB/s | 384.9 KiB | 00m00s [ 89/102] perl-Time-Local-2:1.350-5.fc4 100% | 8.4 MiB/s | 34.3 KiB | 00m00s [ 90/102] perl-Pod-Escapes-1:1.07-503.f 100% | 6.4 MiB/s | 19.6 KiB | 00m00s [ 91/102] perl-Text-Tabs+Wrap-0:2024.00 100% | 10.5 MiB/s | 21.6 KiB | 00m00s [ 92/102] perl-if-0:0.61.000-506.fc40.n 100% | 7.0 MiB/s | 14.4 KiB | 00m00s [ 93/102] perl-IO-Socket-IP-0:0.42-2.fc 100% | 40.7 MiB/s | 41.7 KiB | 00m00s [ 94/102] ncurses-0:6.4-12.20240127.fc4 100% | 82.3 MiB/s | 421.2 KiB | 00m00s [ 95/102] perl-URI-0:5.28-1.fc40.noarch 100% | 32.4 MiB/s | 132.8 KiB | 00m00s [ 96/102] perl-AutoLoader-0:5.74-506.fc 100% | 4.2 MiB/s | 21.7 KiB | 00m00s [ 97/102] perl-Data-Dumper-0:2.188-503. 100% | 13.7 MiB/s | 56.0 KiB | 00m00s [ 98/102] perl-B-0:1.88-506.fc40.x86_64 100% | 34.4 MiB/s | 176.3 KiB | 00m00s [ 99/102] perl-Digest-MD5-0:2.59-3.fc40 100% | 17.5 MiB/s | 35.8 KiB | 00m00s [100/102] perl-libnet-0:3.15-503.fc40.n 100% | 20.9 MiB/s | 128.5 KiB | 00m00s [101/102] perl-FileHandle-0:2.05-506.fc 100% | 15.6 MiB/s | 15.9 KiB | 00m00s [102/102] perl-Digest-0:1.20-502.fc40.n 100% | 12.0 MiB/s | 24.6 KiB | 00m00s -------------------------------------------------------------------------------- [102/102] Total 100% | 108.3 MiB/s | 109.1 MiB | 00m01s Running transaction [ 1/104] Verify package files 100% | 268.0 B/s | 102.0 B | 00m00s [ 2/104] Prepare transaction 100% | 1.7 KiB/s | 102.0 B | 00m00s [ 3/104] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 4/104] Installing libmpc-0:1.3.1-5.f 100% | 162.3 MiB/s | 166.2 KiB | 00m00s [ 5/104] Installing python3-rpm-macros 100% | 0.0 B/s | 6.7 KiB | 00m00s [ 6/104] Installing expat-0:2.6.2-1.fc 100% | 276.2 MiB/s | 282.9 KiB | 00m00s [ 7/104] Installing pyproject-rpm-macr 100% | 98.4 MiB/s | 100.8 KiB | 00m00s [ 8/104] Installing cpp-0:14.1.1-4.fc4 100% | 371.9 MiB/s | 35.0 MiB | 00m00s [ 9/104] Installing ncurses-0:6.4-12.2 100% | 68.1 MiB/s | 627.6 KiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 [ 10/104] Installing groff-base-0:1.23. 100% | 203.0 MiB/s | 3.9 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.x86_64 [ 11/104] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 12/104] Installing perl-B-0:1.88-506. 100% | 242.1 MiB/s | 495.7 KiB | 00m00s [ 13/104] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 14/104] Installing perl-Digest-MD5-0: 100% | 60.2 MiB/s | 61.6 KiB | 00m00s [ 15/104] Installing perl-Data-Dumper-0 100% | 110.9 MiB/s | 113.6 KiB | 00m00s [ 16/104] Installing perl-libnet-0:3.15 100% | 143.7 MiB/s | 294.3 KiB | 00m00s [ 17/104] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 18/104] Installing perl-URI-0:5.28-1. 100% | 122.9 MiB/s | 251.8 KiB | 00m00s [ 19/104] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [ 20/104] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 21/104] Installing perl-Mozilla-CA-0: 100% | 0.0 B/s | 10.2 KiB | 00m00s [ 22/104] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [ 23/104] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 24/104] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.8 KiB | 00m00s [ 25/104] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 26/104] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.4 KiB | 00m00s [ 27/104] Installing perl-Net-SSLeay-0: 100% | 272.5 MiB/s | 1.4 MiB | 00m00s [ 28/104] Installing perl-IO-Socket-SSL 100% | 336.4 MiB/s | 689.0 KiB | 00m00s [ 29/104] Installing perl-POSIX-0:2.13- 100% | 224.9 MiB/s | 230.3 KiB | 00m00s [ 30/104] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 31/104] Installing perl-IPC-Open3-0:1 100% | 0.0 B/s | 23.3 KiB | 00m00s [ 32/104] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [ 33/104] Installing perl-Term-Cap-0:1. 100% | 29.8 MiB/s | 30.5 KiB | 00m00s [ 34/104] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [ 35/104] Installing perl-Pod-Simple-1: 100% | 278.0 MiB/s | 569.4 KiB | 00m00s [ 36/104] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 37/104] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 38/104] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 39/104] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.2 KiB | 00m00s [ 40/104] Installing perl-Socket-4:2.03 100% | 123.1 MiB/s | 126.0 KiB | 00m00s [ 41/104] Installing perl-podlators-1:5 100% | 152.4 MiB/s | 312.1 KiB | 00m00s [ 42/104] Installing perl-Pod-Perldoc-0 100% | 164.7 MiB/s | 168.6 KiB | 00m00s [ 43/104] Installing perl-Fcntl-0:1.15- 100% | 25.1 MiB/s | 25.8 KiB | 00m00s [ 44/104] Installing perl-mro-0:1.28-50 100% | 41.7 MiB/s | 42.7 KiB | 00m00s [ 45/104] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [ 46/104] Installing perl-IO-0:1.52-506 100% | 151.7 MiB/s | 155.3 KiB | 00m00s [ 47/104] Installing perl-Text-ParseWor 100% | 0.0 B/s | 14.5 KiB | 00m00s [ 48/104] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 49/104] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [ 50/104] Installing perl-constant-0:1. 100% | 0.0 B/s | 27.4 KiB | 00m00s [ 51/104] Installing perl-Errno-0:1.37- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 52/104] Installing perl-Scalar-List-U 100% | 145.2 MiB/s | 148.7 KiB | 00m00s [ 53/104] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 54/104] Installing perl-overload-0:1. 100% | 0.0 B/s | 71.9 KiB | 00m00s [ 55/104] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.6 KiB | 00m00s [ 56/104] Installing perl-MIME-Base64-0 100% | 47.2 MiB/s | 48.3 KiB | 00m00s [ 57/104] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [ 58/104] Installing perl-Storable-1:3. 100% | 228.5 MiB/s | 233.9 KiB | 00m00s [ 59/104] Installing perl-Getopt-Long-1 100% | 143.4 MiB/s | 146.9 KiB | 00m00s [ 60/104] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 61/104] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [ 62/104] Installing perl-Carp-0:1.54-5 100% | 46.5 MiB/s | 47.7 KiB | 00m00s [ 63/104] Installing perl-PathTools-0:3 100% | 179.8 MiB/s | 184.2 KiB | 00m00s [ 64/104] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 65/104] Installing perl-Encode-4:3.21 100% | 337.0 MiB/s | 4.7 MiB | 00m00s [ 66/104] Installing perl-libs-4:5.38.2 100% | 276.2 MiB/s | 9.9 MiB | 00m00s [ 67/104] Installing perl-interpreter-4 100% | 118.6 MiB/s | 121.4 KiB | 00m00s [ 68/104] Installing perl-File-Find-0:1 100% | 0.0 B/s | 42.4 KiB | 00m00s [ 69/104] Installing perl-TermReadKey-0 100% | 64.7 MiB/s | 66.3 KiB | 00m00s [ 70/104] Installing perl-lib-0:0.65-50 100% | 0.0 B/s | 8.9 KiB | 00m00s [ 71/104] Installing perl-Error-1:0.170 100% | 26.2 MiB/s | 80.4 KiB | 00m00s [ 72/104] Installing kernel-headers-0:6 100% | 220.1 MiB/s | 6.4 MiB | 00m00s [ 73/104] Installing glibc-headers-x86- 100% | 187.9 MiB/s | 2.3 MiB | 00m00s [ 74/104] Installing libxcrypt-devel-0: 100% | 31.8 MiB/s | 32.6 KiB | 00m00s [ 75/104] Installing glibc-devel-0:2.39 100% | 38.3 MiB/s | 39.3 KiB | 00m00s [ 76/104] Installing annobin-docs-0:12. 100% | 31.5 MiB/s | 96.8 KiB | 00m00s [ 77/104] Installing libstdc++-devel-0: 100% | 361.5 MiB/s | 15.5 MiB | 00m00s [ 78/104] Installing tzdata-0:2024a-5.f 100% | 65.5 MiB/s | 1.9 MiB | 00m00s [ 79/104] Installing libedit-0:3.1-51.2 100% | 119.9 MiB/s | 245.6 KiB | 00m00s [ 80/104] Installing libcbor-0:0.11.0-1 100% | 73.5 MiB/s | 75.3 KiB | 00m00s [ 81/104] Installing libfido2-0:1.14.0- 100% | 233.7 MiB/s | 239.3 KiB | 00m00s [ 82/104] Installing openssh-0:9.6p1-1. 100% | 364.0 MiB/s | 1.8 MiB | 00m00s [ 83/104] Installing openssh-clients-0: 100% | 237.1 MiB/s | 2.6 MiB | 00m00s >>> Running post-install scriptlet: openssh-clients-0:9.6p1-1.fc40.2.x86_64 >>> Stop post-install scriptlet: openssh-clients-0:9.6p1-1.fc40.2.x86_64 [ 84/104] Installing python-pip-wheel-0 100% | 506.6 MiB/s | 1.5 MiB | 00m00s [ 85/104] Installing mpdecimal-0:2.5.1- 100% | 197.3 MiB/s | 202.0 KiB | 00m00s [ 86/104] Installing libb2-0:0.98.1-11. 100% | 42.3 MiB/s | 43.3 KiB | 00m00s [ 87/104] Installing python3-0:3.12.3-2 100% | 5.4 MiB/s | 33.3 KiB | 00m00s [ 88/104] Installing python3-libs-0:3.1 100% | 338.9 MiB/s | 41.3 MiB | 00m00s [ 89/104] Installing python3-packaging- 100% | 210.9 MiB/s | 431.9 KiB | 00m00s [ 90/104] Installing python3-rpm-genera 100% | 81.0 MiB/s | 82.9 KiB | 00m00s [ 91/104] Installing less-0:643-4.fc40. 100% | 121.1 MiB/s | 372.0 KiB | 00m00s [ 92/104] Installing git-core-0:2.45.2- 100% | 391.6 MiB/s | 21.9 MiB | 00m00s [ 93/104] Installing git-core-doc-0:2.4 100% | 387.6 MiB/s | 17.1 MiB | 00m00s [ 94/104] Installing perl-Git-0:2.45.2- 100% | 0.0 B/s | 65.0 KiB | 00m00s [ 95/104] Installing git-0:2.45.2-2.fc4 100% | 85.4 MiB/s | 87.4 KiB | 00m00s [ 96/104] Installing gc-0:8.2.2-6.fc40. 100% | 85.0 MiB/s | 261.2 KiB | 00m00s [ 97/104] Installing guile30-0:3.0.7-12 100% | 456.5 MiB/s | 51.6 MiB | 00m00s [ 98/104] Installing make-1:4.4.1-6.fc4 100% | 257.2 MiB/s | 1.8 MiB | 00m00s [ 99/104] Installing gcc-0:14.1.1-4.fc4 100% | 423.0 MiB/s | 104.1 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch [100/104] Installing gcc-c++-0:14.1.1-4 100% | 388.9 MiB/s | 38.1 MiB | 00m00s [101/104] Installing gcc-plugin-annobin 100% | 4.8 MiB/s | 58.7 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch [102/104] Installing annobin-plugin-gcc 100% | 59.4 MiB/s | 972.4 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:286-1.fc40.noarch [103/104] Installing python3-devel-0:3. 100% | 141.8 MiB/s | 1.3 MiB | 00m00s [104/104] Installing python3-setuptools 100% | 43.2 MiB/s | 7.3 MiB | 00m00s >>> Running trigger-install scriptlet: glibc-common-0:2.39-13.fc40.x86_64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39-13.fc40.x86_64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.x86_64 Finish: build setup for cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Start: rpmbuild cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.FjdAbb + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf cocotb + /usr/bin/mkdir -p cocotb + cd cocotb + rm -rf /builddir/build/BUILD/cocotb-SPECPARTS + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-SPECPARTS + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/cocotb/cocotb.git . Cloning into '.'... + git fetch --depth 1 origin 4a4f9144593829286b1b7878f72b03cdf25e381a From https://github.com/cocotb/cocotb * branch 4a4f9144593829286b1b7878f72b03cdf25e381a -> FETCH_HEAD + git reset --hard 4a4f9144593829286b1b7878f72b03cdf25e381a HEAD is now at 4a4f914 Add support for VHDL libraries in Riviera-PRO Makefile (#3922) + git --no-pager log --format=fuller commit 4a4f9144593829286b1b7878f72b03cdf25e381a Author: ogre AuthorDate: Tue Jun 11 18:22:04 2024 +0200 Commit: GitHub CommitDate: Tue Jun 11 10:22:04 2024 -0600 Add support for VHDL libraries in Riviera-PRO Makefile (#3922) Co-authored-by: Ola Groettvik + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.HO5aAi + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + sed -i /-rpath/d cocotb_build_libs.py + sed -i 's|"-static-libstdc++"||g' cocotb_build_libs.py + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib.linux-x86_64-cpython-312 creating build/lib.linux-x86_64-cpython-312/pygpi copying src/pygpi/entry.py -> build/lib.linux-x86_64-cpython-312/pygpi copying src/pygpi/__init__.py -> build/lib.linux-x86_64-cpython-312/pygpi creating build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/runner.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/config.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/combine_results.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools copying src/cocotb_tools/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb_tools creating build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_version.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/utils.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/triggers.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/task.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/runner.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/result.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/regression.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/queue.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/logging.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/ipython_support.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/handle.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/decorators.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/config.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/clock.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_xunit_reporter.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_sim_versions.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_scheduler.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_py_compat.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_outcomes.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_deprecation.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_conf.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/_ANSI.py -> build/lib.linux-x86_64-cpython-312/cocotb creating build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/range.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/logic_array.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/logic.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/array.py -> build/lib.linux-x86_64-cpython-312/cocotb/types copying src/cocotb/types/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb/types creating build/lib.linux-x86_64-cpython-312/cocotb/_vendor copying src/cocotb/_vendor/distutils_version.py -> build/lib.linux-x86_64-cpython-312/cocotb/_vendor copying src/cocotb/_vendor/__init__.py -> build/lib.linux-x86_64-cpython-312/cocotb/_vendor copying src/pygpi/py.typed -> build/lib.linux-x86_64-cpython-312/pygpi creating build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.sim -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.inc -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.deprecations -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles creating build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.xcelium -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.verilator -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.vcs -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.riviera -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.questa -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.nvc -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.modelsim -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ius -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.icarus -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ghdl -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.cvc -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.activehdl -> build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators copying src/cocotb/simulator.pyi -> build/lib.linux-x86_64-cpython-312/cocotb copying src/cocotb/py.typed -> build/lib.linux-x86_64-cpython-312/cocotb creating build/lib.linux-x86_64-cpython-312/cocotb/share creating build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/vpi_user_ext.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/py_gpi_logging.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/gpi_logging.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/gpi.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/exports.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/embed.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include copying src/cocotb/share/include/cocotb_utils.h -> build/lib.linux-x86_64-cpython-312/cocotb/share/include creating build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/modelsim.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/icarus.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/ghdl.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/aldec.def -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/README.md -> build/lib.linux-x86_64-cpython-312/cocotb/share/def copying src/cocotb/share/def/.gitignore -> build/lib.linux-x86_64-cpython-312/cocotb/share/def creating build/lib.linux-x86_64-cpython-312/cocotb/share/lib creating build/lib.linux-x86_64-cpython-312/cocotb/share/lib/verilator copying src/cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-x86_64-cpython-312/cocotb/share/lib/verilator running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-x86_64-cpython-312 creating build/temp.linux-x86_64-cpython-312/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto creating build/lib.linux-x86_64-cpython-312/cocotb/libs g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpilog.so -flto building 'cocotb/libs/libpygpilog' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DPYGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/py_gpi_log/py_gpi_logging.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libpygpilog.so -flto building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBUTILS_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -ldl -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils.so -flto building 'cocotb/libs/libembed' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTB_EMBED_EXPORTS= -DPYTHON_LIB=libpython3.12.so -D__STDC_FORMAT_MACROS= -DEMBED_IMPL_LIB=libcocotb.so -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/embed/embed.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libembed.so -flto building 'cocotb/libs/libgpi' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lembed -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpi.so -flto building 'cocotb/libs/libcocotb' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void set_program_name_in_venv()’: src/cocotb/share/lib/embed/gpi_embed.cpp:110:22: warning: ‘void Py_SetProgramName(const wchar_t*)’ is deprecated [-Wdeprecated-declarations] 110 | Py_SetProgramName(venv_path_w); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ In file included from /usr/include/python3.12/Python.h:94, from src/cocotb/share/lib/embed/gpi_embed.cpp:32: /usr/include/python3.12/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void _embed_init_python()’: src/cocotb/share/lib/embed/gpi_embed.cpp:147:20: warning: ‘void PySys_SetArgvEx(int, wchar_t**, int)’ is deprecated [-Wdeprecated-declarations] 147 | PySys_SetArgvEx(1, argv, 0); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/python3.12/Python.h:96: /usr/include/python3.12/sysmodule.h:14:38: note: declared here 14 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) PySys_SetArgvEx(int, wchar_t **, int); | ^~~~~~~~~~~~~~~ g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpygpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotb.so -flto building 'cocotb/simulator' extension creating build/temp.linux-x86_64-cpython-312/cocotb/simulator creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lgpi -lpygpilog -o build/lib.linux-x86_64-cpython-312/cocotb/simulator.cpython-312-x86_64-linux-gnu.so -flto building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus.vpl -flto building 'cocotb/libs/libcocotbvpi_modelsim' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim.so -flto building 'cocotb/libs/libcocotbvhpi_modelsim' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim.so -flto building 'cocotb/libs/libcocotbfli_modelsim' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/fli/FliObjHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim.so -flto building 'cocotb/libs/libcocotbvpi_ghdl' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl.so -flto building 'cocotb/libs/libcocotbvpi_ius' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius.so -flto building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius.so -flto building 'cocotb/libs/libcocotbvpi_vcs' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs.so -flto building 'cocotb/libs/libcocotbvpi_aldec' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec.so -flto building 'cocotb/libs/libcocotbvhpi_aldec' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec.so -flto building 'cocotb/libs/libcocotbvpi_verilator' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator.so -flto building 'cocotb/libs/libcocotbvhpi_nvc' extension creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.12 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-312/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc.so -flto + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.LEd1Aj + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 ++ dirname /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld-errors -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 --prefix /usr running install /usr/lib/python3.12/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64 creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12 creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/simulator.cpython-312-x86_64-linux-gnu.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_nvc.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbfli_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvhpi_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotb.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpi.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libembed.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libpygpilog.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-312/cocotb/libs/libgpilog.so -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/lib creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/lib/verilator copying build/lib.linux-x86_64-cpython-312/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/.gitignore -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/README.md -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/aldec.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/ghdl.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/icarus.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-312/cocotb/share/def/modelsim.def -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/def creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/embed.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/exports.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/gpi.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/py_gpi_logging.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-312/cocotb/py.typed -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/simulator.pyi -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_vendor copying build/lib.linux-x86_64-cpython-312/cocotb/_vendor/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_vendor copying build/lib.linux-x86_64-cpython-312/cocotb/_vendor/distutils_version.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_vendor creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/array.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/logic.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/logic_array.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/types/range.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-312/cocotb/_ANSI.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_conf.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_deprecation.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_outcomes.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_py_compat.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_scheduler.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_sim_versions.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_xunit_reporter.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/clock.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/config.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/decorators.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/handle.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/ipython_support.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/logging.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/queue.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/regression.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/result.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/runner.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/task.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/triggers.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/utils.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb copying build/lib.linux-x86_64-cpython-312/cocotb/_version.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.ius -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.questa -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/Makefile.deprecations -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/Makefile.inc -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-312/cocotb_tools/makefiles/Makefile.sim -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-312/cocotb_tools/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-312/cocotb_tools/combine_results.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-312/cocotb_tools/config.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-312/cocotb_tools/runner.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools creating /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-x86_64-cpython-312/pygpi/py.typed -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-x86_64-cpython-312/pygpi/__init__.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/pygpi copying build/lib.linux-x86_64-cpython-312/pygpi/entry.py -> /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/pygpi byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_vendor/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_vendor/distutils_version.py to distutils_version.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types/array.py to array.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types/logic.py to logic.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types/logic_array.py to logic_array.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/types/range.py to range.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_ANSI.py to _ANSI.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_conf.py to _conf.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_deprecation.py to _deprecation.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_outcomes.py to _outcomes.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_py_compat.py to _py_compat.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_scheduler.py to _scheduler.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_sim_versions.py to _sim_versions.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_xunit_reporter.py to _xunit_reporter.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/clock.py to clock.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/config.py to config.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/decorators.py to decorators.cpython-312.pyc /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/handle.py to handle.cpython-312.pyc /usr/lib64/python3.12/site-packages/cocotb/handle.py:586: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:599: SyntaxWarning: invalid escape sequence '\ ' byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/ipython_support.py to ipython_support.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/logging.py to logging.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/queue.py to queue.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/regression.py to regression.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/result.py to result.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/runner.py to runner.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/task.py to task.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/triggers.py to triggers.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/utils.py to utils.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/_version.py to _version.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/combine_results.py to combine_results.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/config.py to config.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb_tools/runner.py to runner.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/pygpi/__init__.py to __init__.cpython-312.pyc byte-compiling /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/pygpi/entry.py to entry.cpython-312.pyc writing byte-compilation script '/tmp/tmpjsi7us7p.py' /usr/bin/python3 /tmp/tmpjsi7us7p.py /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:586: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:599: SyntaxWarning: invalid escape sequence '\ ' removing /tmp/tmpjsi7us7p.py running install_egg_info running egg_info creating src/cocotb.egg-info writing src/cocotb.egg-info/PKG-INFO writing dependency_links to src/cocotb.egg-info/dependency_links.txt writing entry points to src/cocotb.egg-info/entry_points.txt writing requirements to src/cocotb.egg-info/requires.txt writing top-level names to src/cocotb.egg-info/top_level.txt writing manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'src/cocotb.egg-info/SOURCES.txt' Copying src/cocotb.egg-info to /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb-2.0.0.dev0+4a4f914-py3.12.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/bin + rm -rfv /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 1.8.1-20240611.0.git4a4f9144.fc40 --unique-debug-suffix -1.8.1-20240611.0.git4a4f9144.fc40.x86_64 --unique-debug-src-base cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb find-debuginfo: starting Extracting debug info from 19 files DWARF-compressing 19 files sepdebugcrcfix: Updated 19 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 1564 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 Bytecompiling .py files below /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12 using python3.12 Bytecompiling .py files below /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12 using python3.12 /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:586: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:599: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/decorators.py:89: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:586: SyntaxWarning: invalid escape sequence '\ ' /usr/lib64/python3.12/site-packages/cocotb/handle.py:599: SyntaxWarning: invalid escape sequence '\ ' + /usr/lib/rpm/redhat/brp-python-hardlink Processing files: cocotb-python3-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.aLNMjP + umask 022 + cd /builddir/build/BUILD + cd cocotb + DOCDIR=/builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/share/doc/cocotb-python3 + export LC_ALL= + LC_ALL= + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/share/doc/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb/README.md /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/share/doc/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.OZ2Gpa + umask 022 + cd /builddir/build/BUILD + cd cocotb + LICENSEDIR=/builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/share/licenses/cocotb-python3 + export LC_ALL= + LC_ALL= + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/share/licenses/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb/LICENSE /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/share/licenses/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so Provides: cocotb cocotb-python3 = 1.8.1-20240611.0.git4a4f9144.fc40 cocotb-python3(x86-64) = 1.8.1-20240611.0.git4a4f9144.fc40 libcocotb.so()(64bit) libcocotbfli_modelsim.so()(64bit) libcocotbutils.so()(64bit) libcocotbvhpi_aldec.so()(64bit) libcocotbvhpi_ius.so()(64bit) libcocotbvhpi_modelsim.so()(64bit) libcocotbvhpi_nvc.so()(64bit) libcocotbvpi_aldec.so()(64bit) libcocotbvpi_ghdl.so()(64bit) libcocotbvpi_ius.so()(64bit) libcocotbvpi_modelsim.so()(64bit) libcocotbvpi_vcs.so()(64bit) libcocotbvpi_verilator.so()(64bit) libembed.so()(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) python3.12dist(cocotb) = 2~~dev0 python3dist(cocotb) = 2~~dev0 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libcocotbutils.so()(64bit) libembed.so()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) python(abi) = 3.12 python3.12dist(find-libpython) rtld(GNU_HASH) Processing files: cocotb-debugsource-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 Provides: cocotb-debugsource = 1.8.1-20240611.0.git4a4f9144.fc40 cocotb-debugsource(x86-64) = 1.8.1-20240611.0.git4a4f9144.fc40 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: cocotb-python3-debuginfo-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug Provides: cocotb-python3-debuginfo = 1.8.1-20240611.0.git4a4f9144.fc40 cocotb-python3-debuginfo(x86-64) = 1.8.1-20240611.0.git4a4f9144.fc40 debuginfo(build-id) = 1679fc54b41f1b593726f35c9f8314f38d9f644c debuginfo(build-id) = 267ab9111cd5e2a2f09ed9d1784c52eea36b0234 debuginfo(build-id) = 542ed0dc9fd46af26c278475e991bc1c9e7fb12e debuginfo(build-id) = 65e570f161ff3708a17caf6a81f8823f153ad8ad debuginfo(build-id) = 83c1d54482c10f0f72f51526c97b50692d4f5186 debuginfo(build-id) = 841141c64cb9b5615da9c3441bb3cc205df418dd debuginfo(build-id) = 93d1492fc151074bf28bf347e64241f7f55aeb59 debuginfo(build-id) = a49fc29a21c567253dcd0f3ce9426edfb734ab17 debuginfo(build-id) = b40f514ce0ba7a88c52f4fa950127157f2b90625 debuginfo(build-id) = bf76ab56cc5565b9656133d49f923bfd40b031c3 debuginfo(build-id) = d82c922215ed8149a0203a0a83e016c05410794d debuginfo(build-id) = dd94817695d381870ed58d401911990bf5e5a333 debuginfo(build-id) = ea6a1a58a6cb213669a4fb30542b0b5c4735de6a debuginfo(build-id) = eebf6b7b9ab66d3800bf8eaeb4e27ccf7ff99373 debuginfo(build-id) = f308083ef853742fe0039ea48451ca227ec8dec9 debuginfo(build-id) = nilnil libcocotb.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbfli_modelsim.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbutils.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvhpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvhpi_ius.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvhpi_modelsim.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvhpi_nvc.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvpi_ghdl.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvpi_ius.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvpi_modelsim.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvpi_vcs.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libcocotbvpi_verilator.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libembed.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libgpi.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libgpilog.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) libpygpilog.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: cocotb-debugsource(x86-64) = 1.8.1-20240611.0.git4a4f9144.fc40 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 Wrote: /builddir/build/RPMS/cocotb-debugsource-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-debuginfo-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.pGe9ZW + umask 022 + cd /builddir/build/BUILD + cd cocotb + /usr/bin/rm -rf /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.ru1EM9 + umask 022 + cd /builddir/build/BUILD + rm -rf /builddir/build/BUILD/cocotb-SPECPARTS + rm -rf cocotb cocotb.gemspec + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug Duplicate build-ids /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug and /builddir/build/BUILDROOT/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.x86_64/usr/lib/debug/usr/lib64/python3.12/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.8.1-20240611.0.git4a4f9144.fc40.x86_64.debug Finish: rpmbuild cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm Finish: build phase for cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-x86_64-1718153932.167441/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/cocotb-1.8.1-20240611.0.git4a4f9144.fc40.src.rpm) Config(child) 1 minutes 5 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "cocotb-debugsource", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.fc40", "arch": "x86_64" }, { "name": "cocotb-python3-debuginfo", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.fc40", "arch": "x86_64" }, { "name": "cocotb-python3", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.fc40", "arch": "x86_64" }, { "name": "cocotb", "epoch": null, "version": "1.8.1", "release": "20240611.0.git4a4f9144.fc40", "arch": "src" } ] } RPMResults finished