Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c118' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/8103860-fedora-41-x86_64 --chroot fedora-41-x86_64 Version: 0.73 PID: 6743 Logging PID: 6744 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 8103860, 'buildroot_pkgs': [], 'chroot': 'fedora-41-x86_64', 'enable_net': True, 'fedora_review': False, 'git_hash': '964ee0cb031136f16a2f8f3aa82476c44247d576', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'cocotb', 'package_version': '1.9.1-20241002.0.git7bcc8065', 'project_dirname': 'HDL', 'project_name': 'HDL', 'project_owner': 'rezso', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-41-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-41-x86_64/', 'id': 'copr_rezso_ML', 'name': 'Additional repo copr_rezso_ML'}, {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-41-x86_64/', 'id': 'copr_rezso_CUDA', 'name': 'Additional repo copr_rezso_CUDA'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'}, {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le', 'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le', 'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}], 'sandbox': 'rezso/HDL--rezso', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'rezso', 'tags': [], 'task_id': '8103860-fedora-41-x86_64', 'timeout': 172800, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/cocotb', '/var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb'... Running: git checkout 964ee0cb031136f16a2f8f3aa82476c44247d576 -- cmd: ['git', 'checkout', '964ee0cb031136f16a2f8f3aa82476c44247d576', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb rc: 0 stdout: stderr: Note: switching to '964ee0cb031136f16a2f8f3aa82476c44247d576'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 964ee0c automatic import of cocotb Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727918702.392579 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb/cocotb.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1727918702.392579 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb/cocotb.spec) Config(fedora-41-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-bootstrap-1727918702.392579/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:41 INFO: Pulling image: registry.fedoraproject.org/fedora:41 INFO: Copy content of container registry.fedoraproject.org/fedora:41 to /var/lib/mock/fedora-41-x86_64-bootstrap-1727918702.392579/root INFO: Checking that registry.fedoraproject.org/fedora:41 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:41 with podman image mount INFO: image registry.fedoraproject.org/fedora:41 as /var/lib/containers/storage/overlay/c2ba17aa5388b0799aef2fec06c0b3d6a8ad8854d1ffa461bef1c740863ca3d8/merged INFO: umounting image registry.fedoraproject.org/fedora:41 (/var/lib/containers/storage/overlay/c2ba17aa5388b0799aef2fec06c0b3d6a8ad8854d1ffa461bef1c740863ca3d8/merged) with podman image umount INFO: Package manager dnf5 detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-1727918702.392579/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.94-1.fc41.x86_64 rpm-sequoia-1.7.0-2.fc41.x86_64 dnf5-5.2.6.2-1.fc41.x86_64 dnf5-plugins-5.2.6.2-1.fc41.x86_64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: updates 100% | 108.6 KiB/s | 32.3 KiB | 00m00s fedora 100% | 11.7 MiB/s | 35.4 MiB | 00m03s Copr repository 100% | 1.1 MiB/s | 116.7 KiB | 00m00s Additional repo copr_rezso_ML 100% | 1.5 MiB/s | 123.4 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 631.7 KiB/s | 44.2 KiB | 00m00s Additional repo http_developer_downloa 100% | 5.2 MiB/s | 874.6 KiB | 00m00s Additional repo http_developer_downloa 100% | 2.8 MiB/s | 552.9 KiB | 00m00s Additional repo http_developer_downloa 100% | 1.6 MiB/s | 452.8 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash x86_64 5.2.32-1.fc41 fedora 8.2 MiB bzip2 x86_64 1.0.8-19.fc41 fedora 95.7 KiB coreutils x86_64 9.5-9.fc41 fedora 5.6 MiB cpio x86_64 2.15-2.fc41 fedora 1.1 MiB diffutils x86_64 3.10-8.fc41 fedora 1.6 MiB fedora-release-common noarch 41-0.21 fedora 19.4 KiB findutils x86_64 1:4.10.0-4.fc41 fedora 1.8 MiB gawk x86_64 5.3.0-4.fc41 fedora 1.7 MiB glibc-minimal-langpack x86_64 2.40-3.fc41 fedora 0.0 B grep x86_64 3.11-9.fc41 fedora 1.0 MiB gzip x86_64 1.13-2.fc41 fedora 389.0 KiB info x86_64 7.1-3.fc41 fedora 361.8 KiB patch x86_64 2.7.6-25.fc41 fedora 266.7 KiB redhat-rpm-config noarch 293-1.fc41 fedora 183.5 KiB rpm-build x86_64 4.19.94-1.fc41 fedora 194.3 KiB sed x86_64 4.9-3.fc41 fedora 861.5 KiB shadow-utils x86_64 2:4.15.1-10.fc41 fedora 4.1 MiB tar x86_64 2:1.35-4.fc41 fedora 2.9 MiB unzip x86_64 6.0-64.fc41 fedora 386.8 KiB util-linux x86_64 2.40.2-4.fc41 fedora 3.7 MiB which x86_64 2.21-42.fc41 fedora 80.2 KiB xz x86_64 1:5.6.2-2.fc41 fedora 1.2 MiB Installing dependencies: add-determinism x86_64 0.3.6-1.fc41 fedora 2.2 MiB alternatives x86_64 1.30-1.fc41 fedora 66.3 KiB ansible-srpm-macros noarch 1-16.fc41 fedora 35.7 KiB audit-libs x86_64 4.0.2-1.fc41 fedora 331.3 KiB authselect x86_64 1.5.0-7.fc41 fedora 153.5 KiB authselect-libs x86_64 1.5.0-7.fc41 fedora 818.3 KiB basesystem noarch 11-21.fc41 fedora 0.0 B binutils x86_64 2.43-3.fc41 fedora 27.5 MiB build-reproducibility-srpm-macros noarch 0.3.6-1.fc41 fedora 735.0 B bzip2-libs x86_64 1.0.8-19.fc41 fedora 80.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-1.0.fc41 fedora 2.4 MiB coreutils-common x86_64 9.5-9.fc41 fedora 11.2 MiB cracklib x86_64 2.9.11-6.fc41 fedora 238.9 KiB crypto-policies noarch 20240826-1.gite824389.fc41 fedora 136.9 KiB curl x86_64 8.9.1-2.fc41 fedora 796.2 KiB cyrus-sasl-lib x86_64 2.1.28-27.fc41 fedora 2.3 MiB debugedit x86_64 5.0-17.fc41 fedora 199.3 KiB dwz x86_64 0.15-7.fc41 fedora 290.9 KiB ed x86_64 1.20.2-2.fc41 fedora 146.9 KiB efi-srpm-macros noarch 5-12.fc41 fedora 40.1 KiB elfutils x86_64 0.191-8.fc41 fedora 2.6 MiB elfutils-debuginfod-client x86_64 0.191-8.fc41 fedora 64.9 KiB elfutils-default-yama-scope noarch 0.191-8.fc41 fedora 1.8 KiB elfutils-libelf x86_64 0.191-8.fc41 fedora 1.2 MiB elfutils-libs x86_64 0.191-8.fc41 fedora 646.2 KiB fedora-gpg-keys noarch 41-0.5 fedora 126.4 KiB fedora-release noarch 41-0.21 fedora 0.0 B fedora-release-identity-basic noarch 41-0.21 fedora 684.0 B fedora-repos noarch 41-0.5 fedora 4.9 KiB file x86_64 5.45-7.fc41 fedora 103.5 KiB file-libs x86_64 5.45-7.fc41 fedora 9.9 MiB filesystem x86_64 3.18-23.fc41 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-17.fc41 fedora 55.8 KiB forge-srpm-macros noarch 0.3.2-1.fc41 fedora 39.0 KiB fpc-srpm-macros noarch 1.3-13.fc41 fedora 144.0 B gdb-minimal x86_64 15.1-1.fc41 fedora 13.0 MiB gdbm x86_64 1:1.23-7.fc41 fedora 460.9 KiB gdbm-libs x86_64 1:1.23-7.fc41 fedora 121.9 KiB ghc-srpm-macros noarch 1.9.1-2.fc41 fedora 747.0 B glibc x86_64 2.40-3.fc41 fedora 6.7 MiB glibc-common x86_64 2.40-3.fc41 fedora 1.0 MiB glibc-gconv-extra x86_64 2.40-3.fc41 fedora 8.0 MiB gmp x86_64 1:6.3.0-2.fc41 fedora 811.4 KiB gnat-srpm-macros noarch 6-6.fc41 fedora 1.0 KiB go-srpm-macros noarch 3.6.0-3.fc41 fedora 60.8 KiB jansson x86_64 2.13.1-10.fc41 fedora 88.3 KiB kernel-srpm-macros noarch 1.0-24.fc41 fedora 1.9 KiB keyutils-libs x86_64 1.6.3-4.fc41 fedora 54.4 KiB krb5-libs x86_64 1.21.3-2.fc41 fedora 2.3 MiB libacl x86_64 2.3.2-2.fc41 fedora 40.0 KiB libarchive x86_64 3.7.4-3.fc41 fedora 922.6 KiB libattr x86_64 2.5.2-4.fc41 fedora 28.5 KiB libblkid x86_64 2.40.2-4.fc41 fedora 258.5 KiB libbrotli x86_64 1.1.0-5.fc41 fedora 837.6 KiB libcap x86_64 2.70-4.fc41 fedora 220.2 KiB libcap-ng x86_64 0.8.5-3.fc41 fedora 69.2 KiB libcom_err x86_64 1.47.1-3.fc41 fedora 67.2 KiB libcurl x86_64 8.9.1-2.fc41 fedora 818.1 KiB libeconf x86_64 0.6.2-3.fc41 fedora 58.0 KiB libevent x86_64 2.1.12-14.fc41 fedora 895.7 KiB libfdisk x86_64 2.40.2-4.fc41 fedora 362.9 KiB libffi x86_64 3.4.6-3.fc41 fedora 86.4 KiB libgcc x86_64 14.2.1-3.fc41 fedora 274.6 KiB libgomp x86_64 14.2.1-3.fc41 fedora 523.5 KiB libidn2 x86_64 2.3.7-2.fc41 fedora 329.1 KiB libmount x86_64 2.40.2-4.fc41 fedora 351.8 KiB libnghttp2 x86_64 1.62.1-2.fc41 fedora 166.1 KiB libnsl2 x86_64 2.0.1-2.fc41 fedora 57.9 KiB libpkgconf x86_64 2.3.0-1.fc41 fedora 78.2 KiB libpsl x86_64 0.21.5-4.fc41 fedora 80.5 KiB libpwquality x86_64 1.4.5-11.fc41 fedora 417.8 KiB libselinux x86_64 3.7-5.fc41 fedora 181.0 KiB libsemanage x86_64 3.7-2.fc41 fedora 293.5 KiB libsepol x86_64 3.7-2.fc41 fedora 817.8 KiB libsmartcols x86_64 2.40.2-4.fc41 fedora 180.4 KiB libssh x86_64 0.10.6-8.fc41 fedora 513.3 KiB libssh-config noarch 0.10.6-8.fc41 fedora 277.0 B libstdc++ x86_64 14.2.1-3.fc41 fedora 2.8 MiB libtasn1 x86_64 4.19.0-9.fc41 fedora 175.7 KiB libtirpc x86_64 1.3.5-0.fc41 fedora 202.7 KiB libtool-ltdl x86_64 2.4.7-12.fc41 fedora 66.2 KiB libunistring x86_64 1.1-8.fc41 fedora 1.7 MiB libutempter x86_64 1.2.1-15.fc41 fedora 57.7 KiB libuuid x86_64 2.40.2-4.fc41 fedora 37.5 KiB libverto x86_64 0.3.2-9.fc41 fedora 29.5 KiB libxcrypt x86_64 4.4.36-7.fc41 fedora 266.8 KiB libxml2 x86_64 2.12.8-2.fc41 fedora 1.7 MiB libzstd x86_64 1.5.6-2.fc41 fedora 795.9 KiB lua-libs x86_64 5.4.6-6.fc41 fedora 285.0 KiB lua-srpm-macros noarch 1-14.fc41 fedora 1.3 KiB lz4-libs x86_64 1.10.0-1.fc41 fedora 145.5 KiB mpfr x86_64 4.2.1-5.fc41 fedora 832.1 KiB ncurses-base noarch 6.5-2.20240629.fc41 fedora 326.3 KiB ncurses-libs x86_64 6.5-2.20240629.fc41 fedora 975.2 KiB ocaml-srpm-macros noarch 10-3.fc41 fedora 1.9 KiB openblas-srpm-macros noarch 2-18.fc41 fedora 112.0 B openldap x86_64 2.6.8-5.fc41 fedora 644.2 KiB openssl-libs x86_64 1:3.2.2-7.fc41 fedora 7.8 MiB p11-kit x86_64 0.25.5-3.fc41 fedora 2.2 MiB p11-kit-trust x86_64 0.25.5-3.fc41 fedora 391.4 KiB package-notes-srpm-macros noarch 0.5-12.fc41 fedora 1.6 KiB pam x86_64 1.6.1-5.fc41 fedora 1.8 MiB pam-libs x86_64 1.6.1-5.fc41 fedora 139.0 KiB pcre2 x86_64 10.44-1.fc41.1 fedora 653.5 KiB pcre2-syntax noarch 10.44-1.fc41.1 fedora 251.6 KiB perl-srpm-macros noarch 1-56.fc41 fedora 861.0 B pkgconf x86_64 2.3.0-1.fc41 fedora 88.6 KiB pkgconf-m4 noarch 2.3.0-1.fc41 fedora 14.4 KiB pkgconf-pkg-config x86_64 2.3.0-1.fc41 fedora 989.0 B popt x86_64 1.19-7.fc41 fedora 136.9 KiB publicsuffix-list-dafsa noarch 20240107-4.fc41 fedora 67.5 KiB pyproject-srpm-macros noarch 1.15.0-1.fc41 fedora 1.9 KiB python-srpm-macros noarch 3.13-3.fc41 fedora 51.0 KiB qt5-srpm-macros noarch 5.15.15-1.fc41 fedora 500.0 B qt6-srpm-macros noarch 6.7.2-3.fc41 fedora 456.0 B readline x86_64 8.2-10.fc41 fedora 493.2 KiB rpm x86_64 4.19.94-1.fc41 fedora 3.1 MiB rpm-build-libs x86_64 4.19.94-1.fc41 fedora 206.7 KiB rpm-libs x86_64 4.19.94-1.fc41 fedora 721.9 KiB rpm-sequoia x86_64 1.7.0-2.fc41 fedora 2.4 MiB rust-srpm-macros noarch 26.3-3.fc41 fedora 4.8 KiB setup noarch 2.15.0-5.fc41 fedora 720.7 KiB sqlite-libs x86_64 3.46.1-1.fc41 fedora 1.4 MiB systemd-libs x86_64 256.6-1.fc41 fedora 2.0 MiB util-linux-core x86_64 2.40.2-4.fc41 fedora 1.5 MiB xxhash-libs x86_64 0.8.2-3.fc41 fedora 88.5 KiB xz-libs x86_64 1:5.6.2-2.fc41 fedora 214.4 KiB zig-srpm-macros noarch 1-3.fc41 fedora 1.1 KiB zip x86_64 3.0-41.fc41 fedora 703.2 KiB zlib-ng-compat x86_64 2.1.7-3.fc41 fedora 134.0 KiB zstd x86_64 1.5.6-2.fc41 fedora 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 153 packages Total size of inbound packages is 53 MiB. Need to download 53 MiB. After this operation, 180 MiB extra will be used (install 180 MiB, remove 0 B). [ 1/153] bzip2-0:1.0.8-19.fc41.x86_64 100% | 155.4 KiB/s | 52.5 KiB | 00m00s [ 2/153] cpio-0:2.15-2.fc41.x86_64 100% | 3.1 MiB/s | 291.8 KiB | 00m00s [ 3/153] bash-0:5.2.32-1.fc41.x86_64 100% | 4.1 MiB/s | 1.8 MiB | 00m00s [ 4/153] coreutils-0:9.5-9.fc41.x86_64 100% | 2.4 MiB/s | 1.1 MiB | 00m00s [ 5/153] fedora-release-common-0:41-0. 100% | 671.2 KiB/s | 22.8 KiB | 00m00s [ 6/153] diffutils-0:3.10-8.fc41.x86_6 100% | 6.6 MiB/s | 405.4 KiB | 00m00s [ 7/153] findutils-1:4.10.0-4.fc41.x86 100% | 12.2 MiB/s | 548.5 KiB | 00m00s [ 8/153] glibc-minimal-langpack-0:2.40 100% | 3.3 MiB/s | 124.0 KiB | 00m00s [ 9/153] grep-0:3.11-9.fc41.x86_64 100% | 6.2 MiB/s | 299.7 KiB | 00m00s [ 10/153] gzip-0:1.13-2.fc41.x86_64 100% | 4.5 MiB/s | 170.2 KiB | 00m00s [ 11/153] info-0:7.1-3.fc41.x86_64 100% | 5.4 MiB/s | 182.5 KiB | 00m00s [ 12/153] patch-0:2.7.6-25.fc41.x86_64 100% | 3.2 MiB/s | 131.0 KiB | 00m00s [ 13/153] rpm-build-0:4.19.94-1.fc41.x8 100% | 1.9 MiB/s | 82.9 KiB | 00m00s [ 14/153] redhat-rpm-config-0:293-1.fc4 100% | 1.4 MiB/s | 82.0 KiB | 00m00s [ 15/153] sed-0:4.9-3.fc41.x86_64 100% | 3.9 MiB/s | 317.7 KiB | 00m00s [ 16/153] shadow-utils-2:4.15.1-10.fc41 100% | 14.8 MiB/s | 1.3 MiB | 00m00s [ 17/153] tar-2:1.35-4.fc41.x86_64 100% | 8.3 MiB/s | 860.7 KiB | 00m00s [ 18/153] unzip-0:6.0-64.fc41.x86_64 100% | 3.2 MiB/s | 184.9 KiB | 00m00s [ 19/153] xz-1:5.6.2-2.fc41.x86_64 100% | 15.3 MiB/s | 471.5 KiB | 00m00s [ 20/153] which-0:2.21-42.fc41.x86_64 100% | 814.9 KiB/s | 41.6 KiB | 00m00s [ 21/153] gawk-0:5.3.0-4.fc41.x86_64 100% | 22.3 MiB/s | 1.1 MiB | 00m00s [ 22/153] filesystem-0:3.18-23.fc41.x86 100% | 7.5 MiB/s | 1.1 MiB | 00m00s [ 23/153] ncurses-libs-0:6.5-2.20240629 100% | 8.6 MiB/s | 334.0 KiB | 00m00s [ 24/153] glibc-0:2.40-3.fc41.x86_64 100% | 13.0 MiB/s | 2.2 MiB | 00m00s [ 25/153] util-linux-0:2.40.2-4.fc41.x8 100% | 5.5 MiB/s | 1.2 MiB | 00m00s [ 26/153] bzip2-libs-0:1.0.8-19.fc41.x8 100% | 978.9 KiB/s | 41.1 KiB | 00m00s [ 27/153] libacl-0:2.3.2-2.fc41.x86_64 100% | 453.7 KiB/s | 24.5 KiB | 00m00s [ 28/153] coreutils-common-0:9.5-9.fc41 100% | 18.9 MiB/s | 2.1 MiB | 00m00s [ 29/153] libattr-0:2.5.2-4.fc41.x86_64 100% | 199.7 KiB/s | 18.2 KiB | 00m00s [ 30/153] libselinux-0:3.7-5.fc41.x86_6 100% | 1.4 MiB/s | 87.8 KiB | 00m00s [ 31/153] libcap-0:2.70-4.fc41.x86_64 100% | 788.2 KiB/s | 86.7 KiB | 00m00s [ 32/153] fedora-repos-0:41-0.5.noarch 100% | 125.6 KiB/s | 9.2 KiB | 00m00s [ 33/153] openssl-libs-1:3.2.2-7.fc41.x 100% | 16.6 MiB/s | 2.3 MiB | 00m00s [ 34/153] glibc-common-0:2.40-3.fc41.x8 100% | 5.1 MiB/s | 412.3 KiB | 00m00s [ 35/153] pcre2-0:10.44-1.fc41.1.x86_64 100% | 4.9 MiB/s | 243.1 KiB | 00m00s [ 36/153] ansible-srpm-macros-0:1-16.fc 100% | 310.1 KiB/s | 20.8 KiB | 00m00s [ 37/153] ed-0:1.20.2-2.fc41.x86_64 100% | 997.7 KiB/s | 81.8 KiB | 00m00s [ 38/153] build-reproducibility-srpm-ma 100% | 225.6 KiB/s | 10.6 KiB | 00m00s [ 39/153] dwz-0:0.15-7.fc41.x86_64 100% | 3.9 MiB/s | 138.0 KiB | 00m00s [ 40/153] efi-srpm-macros-0:5-12.fc41.n 100% | 721.8 KiB/s | 22.4 KiB | 00m00s [ 41/153] file-0:5.45-7.fc41.x86_64 100% | 1.3 MiB/s | 49.1 KiB | 00m00s [ 42/153] fonts-srpm-macros-1:2.0.5-17. 100% | 1.0 MiB/s | 27.0 KiB | 00m00s [ 43/153] forge-srpm-macros-0:0.3.2-1.f 100% | 656.7 KiB/s | 19.7 KiB | 00m00s [ 44/153] fpc-srpm-macros-0:1.3-13.fc41 100% | 294.9 KiB/s | 8.0 KiB | 00m00s [ 45/153] ghc-srpm-macros-0:1.9.1-2.fc4 100% | 283.0 KiB/s | 9.1 KiB | 00m00s [ 46/153] gnat-srpm-macros-0:6-6.fc41.n 100% | 344.3 KiB/s | 9.0 KiB | 00m00s [ 47/153] go-srpm-macros-0:3.6.0-3.fc41 100% | 1.0 MiB/s | 28.0 KiB | 00m00s [ 48/153] kernel-srpm-macros-0:1.0-24.f 100% | 266.7 KiB/s | 9.9 KiB | 00m00s [ 49/153] lua-srpm-macros-0:1-14.fc41.n 100% | 188.9 KiB/s | 8.9 KiB | 00m00s [ 50/153] ocaml-srpm-macros-0:10-3.fc41 100% | 195.7 KiB/s | 9.2 KiB | 00m00s [ 51/153] openblas-srpm-macros-0:2-18.f 100% | 226.9 KiB/s | 7.7 KiB | 00m00s [ 52/153] package-notes-srpm-macros-0:0 100% | 234.0 KiB/s | 9.8 KiB | 00m00s [ 53/153] perl-srpm-macros-0:1-56.fc41. 100% | 224.0 KiB/s | 8.5 KiB | 00m00s [ 54/153] pyproject-srpm-macros-0:1.15. 100% | 331.4 KiB/s | 13.6 KiB | 00m00s [ 55/153] python-srpm-macros-0:3.13-3.f 100% | 465.1 KiB/s | 23.7 KiB | 00m00s [ 56/153] qt6-srpm-macros-0:6.7.2-3.fc4 100% | 246.7 KiB/s | 9.1 KiB | 00m00s [ 57/153] qt5-srpm-macros-0:5.15.15-1.f 100% | 148.3 KiB/s | 8.9 KiB | 00m00s [ 58/153] rpm-0:4.19.94-1.fc41.x86_64 100% | 13.0 MiB/s | 547.6 KiB | 00m00s [ 59/153] rust-srpm-macros-0:26.3-3.fc4 100% | 327.2 KiB/s | 12.1 KiB | 00m00s [ 60/153] zig-srpm-macros-0:1-3.fc41.no 100% | 270.8 KiB/s | 8.1 KiB | 00m00s [ 61/153] zip-0:3.0-41.fc41.x86_64 100% | 8.6 MiB/s | 264.8 KiB | 00m00s [ 62/153] debugedit-0:5.0-17.fc41.x86_6 100% | 2.2 MiB/s | 80.1 KiB | 00m00s [ 63/153] elfutils-0:0.191-8.fc41.x86_6 100% | 11.8 MiB/s | 529.4 KiB | 00m00s [ 64/153] elfutils-libelf-0:0.191-8.fc4 100% | 8.4 MiB/s | 207.6 KiB | 00m00s [ 65/153] popt-0:1.19-7.fc41.x86_64 100% | 1.2 MiB/s | 65.9 KiB | 00m00s [ 66/153] libarchive-0:3.7.4-3.fc41.x86 100% | 4.8 MiB/s | 409.2 KiB | 00m00s [ 67/153] readline-0:8.2-10.fc41.x86_64 100% | 2.5 MiB/s | 213.2 KiB | 00m00s [ 68/153] rpm-build-libs-0:4.19.94-1.fc 100% | 2.2 MiB/s | 99.1 KiB | 00m00s [ 69/153] rpm-libs-0:4.19.94-1.fc41.x86 100% | 7.6 MiB/s | 309.5 KiB | 00m00s [ 70/153] zstd-0:1.5.6-2.fc41.x86_64 100% | 14.7 MiB/s | 481.5 KiB | 00m00s [ 71/153] libeconf-0:0.6.2-3.fc41.x86_6 100% | 1.4 MiB/s | 32.2 KiB | 00m00s [ 72/153] audit-libs-0:4.0.2-1.fc41.x86 100% | 3.2 MiB/s | 126.2 KiB | 00m00s [ 73/153] libxcrypt-0:4.4.36-7.fc41.x86 100% | 3.6 MiB/s | 118.5 KiB | 00m00s [ 74/153] libsemanage-0:3.7-2.fc41.x86_ 100% | 2.3 MiB/s | 116.3 KiB | 00m00s [ 75/153] setup-0:2.15.0-5.fc41.noarch 100% | 4.4 MiB/s | 154.4 KiB | 00m00s [ 76/153] pam-libs-0:1.6.1-5.fc41.x86_6 100% | 764.5 KiB/s | 57.3 KiB | 00m00s [ 77/153] xz-libs-1:5.6.2-2.fc41.x86_64 100% | 2.2 MiB/s | 111.8 KiB | 00m00s [ 78/153] mpfr-0:4.2.1-5.fc41.x86_64 100% | 9.1 MiB/s | 346.3 KiB | 00m00s [ 79/153] libblkid-0:2.40.2-4.fc41.x86_ 100% | 3.3 MiB/s | 124.7 KiB | 00m00s [ 80/153] libcap-ng-0:0.8.5-3.fc41.x86_ 100% | 904.5 KiB/s | 32.6 KiB | 00m00s [ 81/153] libfdisk-0:2.40.2-4.fc41.x86_ 100% | 5.0 MiB/s | 159.8 KiB | 00m00s [ 82/153] libmount-0:2.40.2-4.fc41.x86_ 100% | 4.7 MiB/s | 155.5 KiB | 00m00s [ 83/153] libsmartcols-0:2.40.2-4.fc41. 100% | 2.6 MiB/s | 83.7 KiB | 00m00s [ 84/153] libutempter-0:1.2.1-15.fc41.x 100% | 806.5 KiB/s | 26.6 KiB | 00m00s [ 85/153] libuuid-0:2.40.2-4.fc41.x86_6 100% | 1.3 MiB/s | 29.1 KiB | 00m00s [ 86/153] systemd-libs-0:256.6-1.fc41.x 100% | 17.8 MiB/s | 730.9 KiB | 00m00s [ 87/153] zlib-ng-compat-0:2.1.7-3.fc41 100% | 1.6 MiB/s | 77.7 KiB | 00m00s [ 88/153] util-linux-core-0:2.40.2-4.fc 100% | 7.2 MiB/s | 537.2 KiB | 00m00s [ 89/153] glibc-gconv-extra-0:2.40-3.fc 100% | 22.9 MiB/s | 1.7 MiB | 00m00s [ 90/153] libgcc-0:14.2.1-3.fc41.x86_64 100% | 2.7 MiB/s | 133.3 KiB | 00m00s [ 91/153] basesystem-0:11-21.fc41.noarc 100% | 88.8 KiB/s | 7.4 KiB | 00m00s [ 92/153] ncurses-base-0:6.5-2.20240629 100% | 1.5 MiB/s | 88.3 KiB | 00m00s [ 93/153] libsepol-0:3.7-2.fc41.x86_64 100% | 4.6 MiB/s | 342.2 KiB | 00m00s [ 94/153] ca-certificates-0:2024.2.69_v 100% | 11.7 MiB/s | 871.2 KiB | 00m00s [ 95/153] crypto-policies-0:20240826-1. 100% | 1.6 MiB/s | 94.5 KiB | 00m00s [ 96/153] pcre2-syntax-0:10.44-1.fc41.1 100% | 4.1 MiB/s | 149.9 KiB | 00m00s [ 97/153] add-determinism-0:0.3.6-1.fc4 100% | 13.4 MiB/s | 851.8 KiB | 00m00s [ 98/153] fedora-gpg-keys-0:41-0.5.noar 100% | 1.3 MiB/s | 133.6 KiB | 00m00s [ 99/153] file-libs-0:5.45-7.fc41.x86_6 100% | 10.8 MiB/s | 762.0 KiB | 00m00s [100/153] elfutils-libs-0:0.191-8.fc41. 100% | 9.7 MiB/s | 257.5 KiB | 00m00s [101/153] curl-0:8.9.1-2.fc41.x86_64 100% | 6.7 MiB/s | 315.1 KiB | 00m00s [102/153] elfutils-debuginfod-client-0: 100% | 954.8 KiB/s | 37.2 KiB | 00m00s [103/153] libzstd-0:1.5.6-2.fc41.x86_64 100% | 9.2 MiB/s | 310.3 KiB | 00m00s [104/153] libstdc++-0:14.2.1-3.fc41.x86 100% | 14.4 MiB/s | 887.8 KiB | 00m00s [105/153] libxml2-0:2.12.8-2.fc41.x86_6 100% | 7.2 MiB/s | 687.3 KiB | 00m00s [106/153] lz4-libs-0:1.10.0-1.fc41.x86_ 100% | 776.8 KiB/s | 70.7 KiB | 00m00s [107/153] libgomp-0:14.2.1-3.fc41.x86_6 100% | 4.6 MiB/s | 354.1 KiB | 00m00s [108/153] lua-libs-0:5.4.6-6.fc41.x86_6 100% | 5.6 MiB/s | 132.0 KiB | 00m00s [109/153] rpm-sequoia-0:1.7.0-2.fc41.x8 100% | 12.6 MiB/s | 892.5 KiB | 00m00s [110/153] elfutils-default-yama-scope-0 100% | 246.1 KiB/s | 12.3 KiB | 00m00s [111/153] sqlite-libs-0:3.46.1-1.fc41.x 100% | 8.0 MiB/s | 712.7 KiB | 00m00s [112/153] authselect-0:1.5.0-7.fc41.x86 100% | 6.2 MiB/s | 145.7 KiB | 00m00s [113/153] authselect-libs-0:1.5.0-7.fc4 100% | 4.1 MiB/s | 218.1 KiB | 00m00s [114/153] pam-0:1.6.1-5.fc41.x86_64 100% | 9.3 MiB/s | 554.0 KiB | 00m00s [115/153] gdbm-libs-1:1.23-7.fc41.x86_6 100% | 2.5 MiB/s | 56.3 KiB | 00m00s [116/153] libnsl2-0:2.0.1-2.fc41.x86_64 100% | 705.0 KiB/s | 29.6 KiB | 00m00s [117/153] libpwquality-0:1.4.5-11.fc41. 100% | 3.2 MiB/s | 119.0 KiB | 00m00s [118/153] libtirpc-0:1.3.5-0.fc41.x86_6 100% | 2.6 MiB/s | 94.2 KiB | 00m00s [119/153] cracklib-0:2.9.11-6.fc41.x86_ 100% | 2.2 MiB/s | 92.1 KiB | 00m00s [120/153] krb5-libs-0:1.21.3-2.fc41.x86 100% | 15.1 MiB/s | 757.4 KiB | 00m00s [121/153] libcom_err-0:1.47.1-3.fc41.x8 100% | 561.9 KiB/s | 26.4 KiB | 00m00s [122/153] keyutils-libs-0:1.6.3-4.fc41. 100% | 688.0 KiB/s | 31.6 KiB | 00m00s [123/153] libverto-0:0.3.2-9.fc41.x86_6 100% | 591.2 KiB/s | 20.7 KiB | 00m00s [124/153] alternatives-0:1.30-1.fc41.x8 100% | 987.5 KiB/s | 42.5 KiB | 00m00s [125/153] jansson-0:2.13.1-10.fc41.x86_ 100% | 807.8 KiB/s | 44.4 KiB | 00m00s [126/153] pkgconf-pkg-config-0:2.3.0-1. 100% | 185.4 KiB/s | 10.0 KiB | 00m00s [127/153] pkgconf-0:2.3.0-1.fc41.x86_64 100% | 1.0 MiB/s | 45.2 KiB | 00m00s [128/153] pkgconf-m4-0:2.3.0-1.fc41.noa 100% | 596.7 KiB/s | 14.3 KiB | 00m00s [129/153] binutils-0:2.43-3.fc41.x86_64 100% | 37.5 MiB/s | 6.5 MiB | 00m00s [130/153] libpkgconf-0:2.3.0-1.fc41.x86 100% | 962.1 KiB/s | 38.5 KiB | 00m00s [131/153] gdbm-1:1.23-7.fc41.x86_64 100% | 3.1 MiB/s | 151.8 KiB | 00m00s [132/153] libffi-0:3.4.6-3.fc41.x86_64 100% | 815.2 KiB/s | 39.9 KiB | 00m00s [133/153] p11-kit-0:0.25.5-3.fc41.x86_6 100% | 6.8 MiB/s | 490.9 KiB | 00m00s [134/153] p11-kit-trust-0:0.25.5-3.fc41 100% | 3.1 MiB/s | 132.1 KiB | 00m00s [135/153] libtasn1-0:4.19.0-9.fc41.x86_ 100% | 939.4 KiB/s | 74.2 KiB | 00m00s [136/153] fedora-release-0:41-0.21.noar 100% | 182.7 KiB/s | 12.1 KiB | 00m00s [137/153] xxhash-libs-0:0.8.2-3.fc41.x8 100% | 905.3 KiB/s | 37.1 KiB | 00m00s [138/153] gmp-1:6.3.0-2.fc41.x86_64 100% | 6.5 MiB/s | 318.0 KiB | 00m00s [139/153] gdb-minimal-0:15.1-1.fc41.x86 100% | 43.1 MiB/s | 4.3 MiB | 00m00s [140/153] fedora-release-identity-basic 100% | 313.3 KiB/s | 12.8 KiB | 00m00s [141/153] libcurl-0:8.9.1-2.fc41.x86_64 100% | 6.0 MiB/s | 361.9 KiB | 00m00s [142/153] libidn2-0:2.3.7-2.fc41.x86_64 100% | 2.1 MiB/s | 118.4 KiB | 00m00s [143/153] libbrotli-0:1.1.0-5.fc41.x86_ 100% | 5.5 MiB/s | 340.5 KiB | 00m00s [144/153] libnghttp2-0:1.62.1-2.fc41.x8 100% | 1.9 MiB/s | 76.6 KiB | 00m00s [145/153] libssh-0:0.10.6-8.fc41.x86_64 100% | 4.9 MiB/s | 211.8 KiB | 00m00s [146/153] libpsl-0:0.21.5-4.fc41.x86_64 100% | 1.1 MiB/s | 64.1 KiB | 00m00s [147/153] openldap-0:2.6.8-5.fc41.x86_6 100% | 4.9 MiB/s | 255.6 KiB | 00m00s [148/153] publicsuffix-list-dafsa-0:202 100% | 809.4 KiB/s | 58.3 KiB | 00m00s [149/153] libssh-config-0:0.10.6-8.fc41 100% | 184.8 KiB/s | 9.2 KiB | 00m00s [150/153] libunistring-0:1.1-8.fc41.x86 100% | 4.7 MiB/s | 544.8 KiB | 00m00s [151/153] cyrus-sasl-lib-0:2.1.28-27.fc 100% | 15.5 MiB/s | 794.9 KiB | 00m00s [152/153] libevent-0:2.1.12-14.fc41.x86 100% | 5.1 MiB/s | 257.5 KiB | 00m00s [153/153] libtool-ltdl-0:2.4.7-12.fc41. 100% | 1.1 MiB/s | 35.6 KiB | 00m00s -------------------------------------------------------------------------------- [153/153] Total 100% | 16.5 MiB/s | 53.2 MiB | 00m03s Running transaction Importing PGP key 0xE99D6AD1: UserID : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. [ 1/155] Verify package files 100% | 750.0 B/s | 153.0 B | 00m00s [ 2/155] Prepare transaction 100% | 1.8 KiB/s | 153.0 B | 00m00s [ 3/155] Installing libgcc-0:14.2.1-3. 100% | 134.9 MiB/s | 276.3 KiB | 00m00s [ 4/155] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 5/155] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 6/155] Installing fedora-release-ide 100% | 918.0 KiB/s | 940.0 B | 00m00s [ 7/155] Installing fedora-gpg-keys-0: 100% | 18.7 MiB/s | 172.2 KiB | 00m00s [ 8/155] Installing fedora-repos-0:41- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 9/155] Installing fedora-release-com 100% | 11.6 MiB/s | 23.7 KiB | 00m00s [ 10/155] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 11/155] Installing setup-0:2.15.0-5.f 100% | 41.7 MiB/s | 726.1 KiB | 00m00s [ 12/155] Installing filesystem-0:3.18- 100% | 1.7 MiB/s | 212.5 KiB | 00m00s [ 13/155] Installing basesystem-0:11-21 100% | 0.0 B/s | 124.0 B | 00m00s [ 14/155] Installing pkgconf-m4-0:2.3.0 100% | 0.0 B/s | 14.8 KiB | 00m00s [ 15/155] Installing pcre2-syntax-0:10. 100% | 124.1 MiB/s | 254.1 KiB | 00m00s [ 16/155] Installing ncurses-base-0:6.5 100% | 38.2 MiB/s | 351.7 KiB | 00m00s [ 17/155] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 18/155] Installing ncurses-libs-0:6.5 100% | 137.0 MiB/s | 981.8 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 19/155] Installing glibc-0:2.40-3.fc4 100% | 139.1 MiB/s | 6.7 MiB | 00m00s [ 20/155] Installing bash-0:5.2.32-1.fc 100% | 255.3 MiB/s | 8.2 MiB | 00m00s [ 21/155] Installing glibc-common-0:2.4 100% | 130.9 MiB/s | 1.0 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 22/155] Installing glibc-gconv-extra- 100% | 108.0 MiB/s | 8.1 MiB | 00m00s [ 23/155] Installing zlib-ng-compat-0:2 100% | 65.8 MiB/s | 134.8 KiB | 00m00s [ 24/155] Installing bzip2-libs-0:1.0.8 100% | 39.9 MiB/s | 81.8 KiB | 00m00s [ 25/155] Installing xz-libs-1:5.6.2-2. 100% | 70.1 MiB/s | 215.5 KiB | 00m00s [ 26/155] Installing popt-0:1.19-7.fc41 100% | 23.4 MiB/s | 143.5 KiB | 00m00s [ 27/155] Installing readline-0:8.2-10. 100% | 120.9 MiB/s | 495.3 KiB | 00m00s [ 28/155] Installing libuuid-0:2.40.2-4 100% | 37.7 MiB/s | 38.6 KiB | 00m00s [ 29/155] Installing libblkid-0:2.40.2- 100% | 126.7 MiB/s | 259.5 KiB | 00m00s [ 30/155] Installing libattr-0:2.5.2-4. 100% | 28.8 MiB/s | 29.5 KiB | 00m00s [ 31/155] Installing libacl-0:2.3.2-2.f 100% | 39.8 MiB/s | 40.7 KiB | 00m00s [ 32/155] Installing libxcrypt-0:4.4.36 100% | 87.7 MiB/s | 269.5 KiB | 00m00s [ 33/155] Installing libstdc++-0:14.2.1 100% | 230.5 MiB/s | 2.8 MiB | 00m00s [ 34/155] Installing libzstd-0:1.5.6-2. 100% | 259.5 MiB/s | 797.2 KiB | 00m00s [ 35/155] Installing elfutils-libelf-0: 100% | 292.3 MiB/s | 1.2 MiB | 00m00s [ 36/155] Installing gmp-1:6.3.0-2.fc41 100% | 198.6 MiB/s | 813.7 KiB | 00m00s [ 37/155] Installing libeconf-0:0.6.2-3 100% | 58.3 MiB/s | 59.7 KiB | 00m00s [ 38/155] Installing gdbm-libs-1:1.23-7 100% | 120.7 MiB/s | 123.6 KiB | 00m00s [ 39/155] Installing mpfr-0:4.2.1-5.fc4 100% | 203.5 MiB/s | 833.7 KiB | 00m00s [ 40/155] Installing gawk-0:5.3.0-4.fc4 100% | 157.5 MiB/s | 1.7 MiB | 00m00s [ 41/155] Installing dwz-0:0.15-7.fc41. 100% | 142.7 MiB/s | 292.3 KiB | 00m00s [ 42/155] Installing unzip-0:6.0-64.fc4 100% | 127.0 MiB/s | 390.3 KiB | 00m00s [ 43/155] Installing file-libs-0:5.45-7 100% | 451.5 MiB/s | 9.9 MiB | 00m00s [ 44/155] Installing file-0:5.45-7.fc41 100% | 6.4 MiB/s | 105.0 KiB | 00m00s [ 45/155] Installing crypto-policies-0: 100% | 13.3 MiB/s | 163.2 KiB | 00m00s [ 46/155] Installing pcre2-0:10.44-1.fc 100% | 159.9 MiB/s | 654.9 KiB | 00m00s [ 47/155] Installing grep-0:3.11-9.fc41 100% | 111.5 MiB/s | 1.0 MiB | 00m00s [ 48/155] Installing xz-1:5.6.2-2.fc41. 100% | 120.5 MiB/s | 1.2 MiB | 00m00s [ 49/155] Installing libcap-ng-0:0.8.5- 100% | 69.4 MiB/s | 71.0 KiB | 00m00s [ 50/155] Installing audit-libs-0:4.0.2 100% | 162.8 MiB/s | 333.4 KiB | 00m00s [ 51/155] Installing pam-libs-0:1.6.1-5 100% | 138.1 MiB/s | 141.4 KiB | 00m00s [ 52/155] Installing libcap-0:2.70-4.fc 100% | 73.3 MiB/s | 225.2 KiB | 00m00s [ 53/155] Installing systemd-libs-0:256 100% | 225.5 MiB/s | 2.0 MiB | 00m00s [ 54/155] Installing libsmartcols-0:2.4 100% | 177.1 MiB/s | 181.4 KiB | 00m00s [ 55/155] Installing libsepol-0:3.7-2.f 100% | 266.5 MiB/s | 818.8 KiB | 00m00s [ 56/155] Installing libselinux-0:3.7-5 100% | 89.0 MiB/s | 182.3 KiB | 00m00s [ 57/155] Installing sed-0:4.9-3.fc41.x 100% | 121.3 MiB/s | 869.7 KiB | 00m00s [ 58/155] Installing findutils-1:4.10.0 100% | 168.9 MiB/s | 1.9 MiB | 00m00s [ 59/155] Installing libmount-0:2.40.2- 100% | 172.3 MiB/s | 352.9 KiB | 00m00s [ 60/155] Installing lz4-libs-0:1.10.0- 100% | 143.1 MiB/s | 146.6 KiB | 00m00s [ 61/155] Installing lua-libs-0:5.4.6-6 100% | 139.8 MiB/s | 286.2 KiB | 00m00s [ 62/155] Installing libcom_err-0:1.47. 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 63/155] Installing alternatives-0:1.3 100% | 66.3 MiB/s | 67.9 KiB | 00m00s [ 64/155] Installing libffi-0:3.4.6-3.f 100% | 85.7 MiB/s | 87.8 KiB | 00m00s [ 65/155] Installing libtasn1-0:4.19.0- 100% | 86.7 MiB/s | 177.5 KiB | 00m00s [ 66/155] Installing p11-kit-0:0.25.5-3 100% | 147.2 MiB/s | 2.2 MiB | 00m00s [ 67/155] Installing libunistring-0:1.1 100% | 247.2 MiB/s | 1.7 MiB | 00m00s [ 68/155] Installing libidn2-0:2.3.7-2. 100% | 65.4 MiB/s | 335.1 KiB | 00m00s [ 69/155] Installing libpsl-0:0.21.5-4. 100% | 79.7 MiB/s | 81.7 KiB | 00m00s [ 70/155] Installing p11-kit-trust-0:0. 100% | 27.4 MiB/s | 393.1 KiB | 00m00s [ 71/155] Installing zstd-0:1.5.6-2.fc4 100% | 211.4 MiB/s | 1.7 MiB | 00m00s [ 72/155] Installing util-linux-core-0: 100% | 123.8 MiB/s | 1.5 MiB | 00m00s [ 73/155] Installing tar-2:1.35-4.fc41. 100% | 211.3 MiB/s | 3.0 MiB | 00m00s [ 74/155] Installing libsemanage-0:3.7- 100% | 96.1 MiB/s | 295.2 KiB | 00m00s [ 75/155] Installing shadow-utils-2:4.1 100% | 83.3 MiB/s | 4.2 MiB | 00m00s [ 76/155] Installing libutempter-0:1.2. 100% | 29.2 MiB/s | 59.7 KiB | 00m00s [ 77/155] Installing zip-0:3.0-41.fc41. 100% | 172.6 MiB/s | 707.1 KiB | 00m00s [ 78/155] Installing gdbm-1:1.23-7.fc41 100% | 113.7 MiB/s | 465.8 KiB | 00m00s [ 79/155] Installing cyrus-sasl-lib-0:2 100% | 230.6 MiB/s | 2.3 MiB | 00m00s [ 80/155] Installing libfdisk-0:2.40.2- 100% | 177.8 MiB/s | 364.1 KiB | 00m00s [ 81/155] Installing libxml2-0:2.12.8-2 100% | 244.6 MiB/s | 1.7 MiB | 00m00s [ 82/155] Installing bzip2-0:1.0.8-19.f 100% | 48.9 MiB/s | 100.2 KiB | 00m00s [ 83/155] Installing add-determinism-0: 100% | 280.7 MiB/s | 2.2 MiB | 00m00s [ 84/155] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 85/155] Installing sqlite-libs-0:3.46 100% | 238.2 MiB/s | 1.4 MiB | 00m00s [ 86/155] Installing ed-0:1.20.2-2.fc41 100% | 72.8 MiB/s | 149.2 KiB | 00m00s [ 87/155] Installing patch-0:2.7.6-25.f 100% | 131.0 MiB/s | 268.2 KiB | 00m00s [ 88/155] Installing elfutils-default-y 100% | 204.3 KiB/s | 2.0 KiB | 00m00s [ 89/155] Installing elfutils-libs-0:0. 100% | 158.2 MiB/s | 648.0 KiB | 00m00s [ 90/155] Installing cpio-0:2.15-2.fc41 100% | 137.5 MiB/s | 1.1 MiB | 00m00s [ 91/155] Installing diffutils-0:3.10-8 100% | 176.7 MiB/s | 1.6 MiB | 00m00s [ 92/155] Installing libgomp-0:14.2.1-3 100% | 256.2 MiB/s | 524.8 KiB | 00m00s [ 93/155] Installing keyutils-libs-0:1. 100% | 54.5 MiB/s | 55.8 KiB | 00m00s [ 94/155] Installing libverto-0:0.3.2-9 100% | 30.5 MiB/s | 31.3 KiB | 00m00s [ 95/155] Installing jansson-0:2.13.1-1 100% | 87.6 MiB/s | 89.7 KiB | 00m00s [ 96/155] Installing libpkgconf-0:2.3.0 100% | 77.5 MiB/s | 79.3 KiB | 00m00s [ 97/155] Installing pkgconf-0:2.3.0-1. 100% | 44.5 MiB/s | 91.1 KiB | 00m00s [ 98/155] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [ 99/155] Installing xxhash-libs-0:0.8. 100% | 87.8 MiB/s | 89.9 KiB | 00m00s [100/155] Installing libbrotli-0:1.1.0- 100% | 205.0 MiB/s | 839.9 KiB | 00m00s [101/155] Installing libnghttp2-0:1.62. 100% | 163.2 MiB/s | 167.1 KiB | 00m00s [102/155] Installing libtool-ltdl-0:2.4 100% | 65.7 MiB/s | 67.3 KiB | 00m00s [103/155] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [104/155] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [105/155] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [106/155] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [107/155] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [108/155] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [109/155] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [110/155] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [111/155] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [112/155] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [113/155] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [114/155] Installing ansible-srpm-macro 100% | 35.4 MiB/s | 36.2 KiB | 00m00s [115/155] Installing coreutils-common-0 100% | 254.3 MiB/s | 11.2 MiB | 00m00s [116/155] Installing openssl-libs-1:3.2 100% | 313.1 MiB/s | 7.8 MiB | 00m00s [117/155] Installing coreutils-0:9.5-9. 100% | 157.2 MiB/s | 5.7 MiB | 00m00s [118/155] Installing ca-certificates-0: 100% | 2.5 MiB/s | 2.4 MiB | 00m01s [119/155] Installing krb5-libs-0:1.21.3 100% | 191.6 MiB/s | 2.3 MiB | 00m00s [120/155] Installing libarchive-0:3.7.4 100% | 225.7 MiB/s | 924.6 KiB | 00m00s [121/155] Installing libtirpc-0:1.3.5-0 100% | 99.8 MiB/s | 204.5 KiB | 00m00s [122/155] Installing gzip-0:1.13-2.fc41 100% | 128.4 MiB/s | 394.6 KiB | 00m00s [123/155] Installing authselect-libs-0: 100% | 90.4 MiB/s | 833.2 KiB | 00m00s [124/155] Installing cracklib-0:2.9.11- 100% | 34.9 MiB/s | 250.3 KiB | 00m00s [125/155] Installing libpwquality-0:1.4 100% | 52.5 MiB/s | 430.1 KiB | 00m00s [126/155] Installing libnsl2-0:2.0.1-2. 100% | 28.8 MiB/s | 59.1 KiB | 00m00s [127/155] Installing pam-0:1.6.1-5.fc41 100% | 81.6 MiB/s | 1.9 MiB | 00m00s [128/155] Installing libssh-0:0.10.6-8. 100% | 167.8 MiB/s | 515.4 KiB | 00m00s [129/155] Installing rpm-sequoia-0:1.7. 100% | 295.9 MiB/s | 2.4 MiB | 00m00s [130/155] Installing rpm-libs-0:4.19.94 100% | 235.5 MiB/s | 723.4 KiB | 00m00s [131/155] Installing rpm-build-libs-0:4 100% | 101.3 MiB/s | 207.5 KiB | 00m00s [132/155] Installing libevent-0:2.1.12- 100% | 219.6 MiB/s | 899.5 KiB | 00m00s [133/155] Installing openldap-0:2.6.8-5 100% | 158.2 MiB/s | 648.0 KiB | 00m00s [134/155] Installing libcurl-0:8.9.1-2. 100% | 200.0 MiB/s | 819.2 KiB | 00m00s [135/155] Installing elfutils-debuginfo 100% | 32.7 MiB/s | 66.9 KiB | 00m00s [136/155] Installing elfutils-0:0.191-8 100% | 232.7 MiB/s | 2.6 MiB | 00m00s [137/155] Installing binutils-0:2.43-3. 100% | 272.8 MiB/s | 27.6 MiB | 00m00s [138/155] Installing gdb-minimal-0:15.1 100% | 302.1 MiB/s | 13.0 MiB | 00m00s [139/155] Installing debugedit-0:5.0-17 100% | 98.6 MiB/s | 202.0 KiB | 00m00s [140/155] Installing curl-0:8.9.1-2.fc4 100% | 43.3 MiB/s | 798.6 KiB | 00m00s [141/155] Installing rpm-0:4.19.94-1.fc 100% | 86.3 MiB/s | 2.5 MiB | 00m00s [142/155] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [143/155] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [144/155] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [145/155] Installing fonts-srpm-macros- 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [146/155] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.4 KiB | 00m00s [147/155] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [148/155] Installing python-srpm-macros 100% | 50.9 MiB/s | 52.2 KiB | 00m00s [149/155] Installing redhat-rpm-config- 100% | 61.9 MiB/s | 190.1 KiB | 00m00s [150/155] Installing rpm-build-0:4.19.9 100% | 49.5 MiB/s | 202.8 KiB | 00m00s [151/155] Installing pyproject-srpm-mac 100% | 1.2 MiB/s | 2.5 KiB | 00m00s [152/155] Installing util-linux-0:2.40. 100% | 93.7 MiB/s | 3.7 MiB | 00m00s [153/155] Installing authselect-0:1.5.0 100% | 38.6 MiB/s | 157.9 KiB | 00m00s [154/155] Installing which-0:2.21-42.fc 100% | 80.5 MiB/s | 82.4 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [155/155] Installing info-0:7.1-3.fc41. 100% | 232.9 KiB/s | 362.2 KiB | 00m02s Complete! Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-0.3.6-1.fc41.x86_64 alternatives-1.30-1.fc41.x86_64 ansible-srpm-macros-1-16.fc41.noarch audit-libs-4.0.2-1.fc41.x86_64 authselect-1.5.0-7.fc41.x86_64 authselect-libs-1.5.0-7.fc41.x86_64 basesystem-11-21.fc41.noarch bash-5.2.32-1.fc41.x86_64 binutils-2.43-3.fc41.x86_64 build-reproducibility-srpm-macros-0.3.6-1.fc41.noarch bzip2-1.0.8-19.fc41.x86_64 bzip2-libs-1.0.8-19.fc41.x86_64 ca-certificates-2024.2.69_v8.0.401-1.0.fc41.noarch coreutils-9.5-9.fc41.x86_64 coreutils-common-9.5-9.fc41.x86_64 cpio-2.15-2.fc41.x86_64 cracklib-2.9.11-6.fc41.x86_64 crypto-policies-20240826-1.gite824389.fc41.noarch curl-8.9.1-2.fc41.x86_64 cyrus-sasl-lib-2.1.28-27.fc41.x86_64 debugedit-5.0-17.fc41.x86_64 diffutils-3.10-8.fc41.x86_64 dwz-0.15-7.fc41.x86_64 ed-1.20.2-2.fc41.x86_64 efi-srpm-macros-5-12.fc41.noarch elfutils-0.191-8.fc41.x86_64 elfutils-debuginfod-client-0.191-8.fc41.x86_64 elfutils-default-yama-scope-0.191-8.fc41.noarch elfutils-libelf-0.191-8.fc41.x86_64 elfutils-libs-0.191-8.fc41.x86_64 fedora-gpg-keys-41-0.5.noarch fedora-release-41-0.21.noarch fedora-release-common-41-0.21.noarch fedora-release-identity-basic-41-0.21.noarch fedora-repos-41-0.5.noarch file-5.45-7.fc41.x86_64 file-libs-5.45-7.fc41.x86_64 filesystem-3.18-23.fc41.x86_64 findutils-4.10.0-4.fc41.x86_64 fonts-srpm-macros-2.0.5-17.fc41.noarch forge-srpm-macros-0.3.2-1.fc41.noarch fpc-srpm-macros-1.3-13.fc41.noarch gawk-5.3.0-4.fc41.x86_64 gdb-minimal-15.1-1.fc41.x86_64 gdbm-1.23-7.fc41.x86_64 gdbm-libs-1.23-7.fc41.x86_64 ghc-srpm-macros-1.9.1-2.fc41.noarch glibc-2.40-3.fc41.x86_64 glibc-common-2.40-3.fc41.x86_64 glibc-gconv-extra-2.40-3.fc41.x86_64 glibc-minimal-langpack-2.40-3.fc41.x86_64 gmp-6.3.0-2.fc41.x86_64 gnat-srpm-macros-6-6.fc41.noarch go-srpm-macros-3.6.0-3.fc41.noarch gpg-pubkey-e99d6ad1-64d2612c grep-3.11-9.fc41.x86_64 gzip-1.13-2.fc41.x86_64 info-7.1-3.fc41.x86_64 jansson-2.13.1-10.fc41.x86_64 kernel-srpm-macros-1.0-24.fc41.noarch keyutils-libs-1.6.3-4.fc41.x86_64 krb5-libs-1.21.3-2.fc41.x86_64 libacl-2.3.2-2.fc41.x86_64 libarchive-3.7.4-3.fc41.x86_64 libattr-2.5.2-4.fc41.x86_64 libblkid-2.40.2-4.fc41.x86_64 libbrotli-1.1.0-5.fc41.x86_64 libcap-2.70-4.fc41.x86_64 libcap-ng-0.8.5-3.fc41.x86_64 libcom_err-1.47.1-3.fc41.x86_64 libcurl-8.9.1-2.fc41.x86_64 libeconf-0.6.2-3.fc41.x86_64 libevent-2.1.12-14.fc41.x86_64 libfdisk-2.40.2-4.fc41.x86_64 libffi-3.4.6-3.fc41.x86_64 libgcc-14.2.1-3.fc41.x86_64 libgomp-14.2.1-3.fc41.x86_64 libidn2-2.3.7-2.fc41.x86_64 libmount-2.40.2-4.fc41.x86_64 libnghttp2-1.62.1-2.fc41.x86_64 libnsl2-2.0.1-2.fc41.x86_64 libpkgconf-2.3.0-1.fc41.x86_64 libpsl-0.21.5-4.fc41.x86_64 libpwquality-1.4.5-11.fc41.x86_64 libselinux-3.7-5.fc41.x86_64 libsemanage-3.7-2.fc41.x86_64 libsepol-3.7-2.fc41.x86_64 libsmartcols-2.40.2-4.fc41.x86_64 libssh-0.10.6-8.fc41.x86_64 libssh-config-0.10.6-8.fc41.noarch libstdc++-14.2.1-3.fc41.x86_64 libtasn1-4.19.0-9.fc41.x86_64 libtirpc-1.3.5-0.fc41.x86_64 libtool-ltdl-2.4.7-12.fc41.x86_64 libunistring-1.1-8.fc41.x86_64 libutempter-1.2.1-15.fc41.x86_64 libuuid-2.40.2-4.fc41.x86_64 libverto-0.3.2-9.fc41.x86_64 libxcrypt-4.4.36-7.fc41.x86_64 libxml2-2.12.8-2.fc41.x86_64 libzstd-1.5.6-2.fc41.x86_64 lua-libs-5.4.6-6.fc41.x86_64 lua-srpm-macros-1-14.fc41.noarch lz4-libs-1.10.0-1.fc41.x86_64 mpfr-4.2.1-5.fc41.x86_64 ncurses-base-6.5-2.20240629.fc41.noarch ncurses-libs-6.5-2.20240629.fc41.x86_64 ocaml-srpm-macros-10-3.fc41.noarch openblas-srpm-macros-2-18.fc41.noarch openldap-2.6.8-5.fc41.x86_64 openssl-libs-3.2.2-7.fc41.x86_64 p11-kit-0.25.5-3.fc41.x86_64 p11-kit-trust-0.25.5-3.fc41.x86_64 package-notes-srpm-macros-0.5-12.fc41.noarch pam-1.6.1-5.fc41.x86_64 pam-libs-1.6.1-5.fc41.x86_64 patch-2.7.6-25.fc41.x86_64 pcre2-10.44-1.fc41.1.x86_64 pcre2-syntax-10.44-1.fc41.1.noarch perl-srpm-macros-1-56.fc41.noarch pkgconf-2.3.0-1.fc41.x86_64 pkgconf-m4-2.3.0-1.fc41.noarch pkgconf-pkg-config-2.3.0-1.fc41.x86_64 popt-1.19-7.fc41.x86_64 publicsuffix-list-dafsa-20240107-4.fc41.noarch pyproject-srpm-macros-1.15.0-1.fc41.noarch python-srpm-macros-3.13-3.fc41.noarch qt5-srpm-macros-5.15.15-1.fc41.noarch qt6-srpm-macros-6.7.2-3.fc41.noarch readline-8.2-10.fc41.x86_64 redhat-rpm-config-293-1.fc41.noarch rpm-4.19.94-1.fc41.x86_64 rpm-build-4.19.94-1.fc41.x86_64 rpm-build-libs-4.19.94-1.fc41.x86_64 rpm-libs-4.19.94-1.fc41.x86_64 rpm-sequoia-1.7.0-2.fc41.x86_64 rust-srpm-macros-26.3-3.fc41.noarch sed-4.9-3.fc41.x86_64 setup-2.15.0-5.fc41.noarch shadow-utils-4.15.1-10.fc41.x86_64 sqlite-libs-3.46.1-1.fc41.x86_64 systemd-libs-256.6-1.fc41.x86_64 tar-1.35-4.fc41.x86_64 unzip-6.0-64.fc41.x86_64 util-linux-2.40.2-4.fc41.x86_64 util-linux-core-2.40.2-4.fc41.x86_64 which-2.21-42.fc41.x86_64 xxhash-libs-0.8.2-3.fc41.x86_64 xz-5.6.2-2.fc41.x86_64 xz-libs-5.6.2-2.fc41.x86_64 zig-srpm-macros-1-3.fc41.noarch zip-3.0-41.fc41.x86_64 zlib-ng-compat-2.1.7-3.fc41.x86_64 zstd-1.5.6-2.fc41.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-41-x86_64-1727918702.392579/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-41-x86_64-1727918702.392579/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-cin15gcc/cocotb/cocotb.spec) Config(child) 0 minutes 35 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm) Config(fedora-41-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-bootstrap-1727918702.392579/root. INFO: reusing tmpfs at /var/lib/mock/fedora-41-x86_64-bootstrap-1727918702.392579/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-41-x86_64-1727918702.392579/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.94-1.fc41.x86_64 rpm-sequoia-1.7.0-2.fc41.x86_64 dnf5-5.2.6.2-1.fc41.x86_64 dnf5-plugins-5.2.6.2-1.fc41.x86_64 Finish: chroot init Start: build phase for cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Start: build setup for cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Wrote: /builddir/build/SRPMS/cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Updating and loading repositories: updates 100% | 832.1 KiB/s | 29.1 KiB | 00m00s fedora 100% | 1.1 MiB/s | 26.9 KiB | 00m00s Copr repository 100% | 51.0 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_ML 100% | 47.8 KiB/s | 1.5 KiB | 00m00s Additional repo copr_rezso_CUDA 100% | 36.2 KiB/s | 1.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 99.7 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 102.4 KiB/s | 3.5 KiB | 00m00s Additional repo http_developer_downloa 100% | 108.8 KiB/s | 3.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing: gcc-c++ x86_64 14.2.1-3.fc41 fedora 38.2 MiB git x86_64 2.46.2-1.fc41 fedora 85.2 KiB make x86_64 1:4.4.1-8.fc41 fedora 1.8 MiB python3-devel x86_64 3.13.0~rc2-3.fc41 fedora 1.8 MiB python3-setuptools noarch 69.2.0-8.fc41 fedora 7.2 MiB Installing dependencies: annobin-docs noarch 12.69-1.fc41 fedora 97.7 KiB annobin-plugin-gcc x86_64 12.69-1.fc41 fedora 985.0 KiB cpp x86_64 14.2.1-3.fc41 fedora 35.0 MiB expat x86_64 2.6.3-1.fc41 fedora 291.5 KiB gcc x86_64 14.2.1-3.fc41 fedora 104.3 MiB gcc-plugin-annobin x86_64 14.2.1-3.fc41 fedora 61.1 KiB git-core x86_64 2.46.2-1.fc41 fedora 22.3 MiB git-core-doc noarch 2.46.2-1.fc41 fedora 17.1 MiB glibc-devel x86_64 2.40-3.fc41 fedora 35.0 KiB glibc-headers-x86 noarch 2.40-3.fc41 fedora 2.2 MiB groff-base x86_64 1.23.0-7.fc41 fedora 3.8 MiB kernel-headers x86_64 6.11.0-63.fc41 fedora 6.4 MiB less x86_64 661-2.fc41 fedora 405.3 KiB libb2 x86_64 0.98.1-12.fc41 fedora 42.2 KiB libcbor x86_64 0.11.0-2.fc41 fedora 73.9 KiB libedit x86_64 3.1-53.20240808cvs.fc41 fedora 244.1 KiB libfido2 x86_64 1.15.0-2.fc41 fedora 238.2 KiB libmpc x86_64 1.3.1-6.fc41 fedora 164.7 KiB libstdc++-devel x86_64 14.2.1-3.fc41 fedora 15.4 MiB libxcrypt-devel x86_64 4.4.36-7.fc41 fedora 30.3 KiB mpdecimal x86_64 2.5.1-16.fc41 fedora 204.9 KiB ncurses x86_64 6.5-2.20240629.fc41 fedora 627.3 KiB openssh x86_64 9.8p1-3.fc41.1 fedora 1.8 MiB openssh-clients x86_64 9.8p1-3.fc41.1 fedora 2.6 MiB perl-AutoLoader noarch 5.74-511.fc41 fedora 20.5 KiB perl-B x86_64 1.89-511.fc41 fedora 498.0 KiB perl-Carp noarch 1.54-511.fc41 fedora 46.6 KiB perl-Class-Struct noarch 0.68-511.fc41 fedora 25.4 KiB perl-Data-Dumper x86_64 2.189-512.fc41 fedora 111.7 KiB perl-Digest noarch 1.20-511.fc41 fedora 35.3 KiB perl-Digest-MD5 x86_64 2.59-5.fc41 fedora 59.8 KiB perl-DynaLoader x86_64 1.56-511.fc41 fedora 32.1 KiB perl-Encode x86_64 4:3.21-511.fc41 fedora 4.7 MiB perl-Errno x86_64 1.38-511.fc41 fedora 8.4 KiB perl-Error noarch 1:0.17029-16.fc41 fedora 77.3 KiB perl-Exporter noarch 5.78-511.fc41 fedora 54.3 KiB perl-Fcntl x86_64 1.18-511.fc41 fedora 49.0 KiB perl-File-Basename noarch 2.86-511.fc41 fedora 14.0 KiB perl-File-Find noarch 1.44-511.fc41 fedora 41.9 KiB perl-File-Path noarch 2.18-511.fc41 fedora 63.5 KiB perl-File-Temp noarch 1:0.231.100-511.fc41 fedora 162.3 KiB perl-File-stat noarch 1.14-511.fc41 fedora 12.5 KiB perl-FileHandle noarch 2.05-511.fc41 fedora 9.3 KiB perl-Getopt-Long noarch 1:2.58-2.fc41 fedora 144.5 KiB perl-Getopt-Std noarch 1.14-511.fc41 fedora 11.2 KiB perl-Git noarch 2.46.2-1.fc41 fedora 64.1 KiB perl-HTTP-Tiny noarch 0.088-512.fc41 fedora 152.2 KiB perl-IO x86_64 1.55-511.fc41 fedora 151.1 KiB perl-IO-Socket-IP noarch 0.42-512.fc41 fedora 98.7 KiB perl-IO-Socket-SSL noarch 2.089-1.fc41 fedora 703.3 KiB perl-IPC-Open3 noarch 1.22-511.fc41 fedora 22.5 KiB perl-MIME-Base32 noarch 1.303-21.fc41 fedora 30.7 KiB perl-MIME-Base64 x86_64 3.16-511.fc41 fedora 46.1 KiB perl-Mozilla-CA noarch 20240730-1.fc41 fedora 9.8 KiB perl-Net-SSLeay x86_64 1.94-7.fc41 fedora 1.3 MiB perl-POSIX x86_64 2.20-511.fc41 fedora 235.1 KiB perl-PathTools x86_64 3.91-511.fc41 fedora 180.0 KiB perl-Pod-Escapes noarch 1:1.07-511.fc41 fedora 24.9 KiB perl-Pod-Perldoc noarch 3.28.01-512.fc41 fedora 163.7 KiB perl-Pod-Simple noarch 1:3.45-511.fc41 fedora 560.9 KiB perl-Pod-Usage noarch 4:2.03-511.fc41 fedora 84.8 KiB perl-Scalar-List-Utils x86_64 5:1.65-1.fc41 fedora 146.5 KiB perl-SelectSaver noarch 1.02-511.fc41 fedora 2.2 KiB perl-Socket x86_64 4:2.038-511.fc41 fedora 124.0 KiB perl-Storable x86_64 1:3.32-511.fc41 fedora 232.4 KiB perl-Symbol noarch 1.09-511.fc41 fedora 6.8 KiB perl-Term-ANSIColor noarch 5.01-512.fc41 fedora 97.5 KiB perl-Term-Cap noarch 1.18-511.fc41 fedora 29.3 KiB perl-TermReadKey x86_64 2.38-23.fc41 fedora 64.1 KiB perl-Text-ParseWords noarch 3.31-511.fc41 fedora 13.6 KiB perl-Text-Tabs+Wrap noarch 2024.001-511.fc41 fedora 22.6 KiB perl-Time-Local noarch 2:1.350-511.fc41 fedora 69.0 KiB perl-URI noarch 5.29-1.fc41 fedora 251.9 KiB perl-base noarch 2.27-511.fc41 fedora 12.5 KiB perl-constant noarch 1.33-512.fc41 fedora 26.2 KiB perl-if noarch 0.61.000-511.fc41 fedora 5.8 KiB perl-interpreter x86_64 4:5.40.0-511.fc41 fedora 122.3 KiB perl-lib x86_64 0.65-511.fc41 fedora 8.5 KiB perl-libnet noarch 3.15-512.fc41 fedora 289.4 KiB perl-libs x86_64 4:5.40.0-511.fc41 fedora 9.9 MiB perl-locale noarch 1.12-511.fc41 fedora 6.5 KiB perl-mro x86_64 1.29-511.fc41 fedora 45.6 KiB perl-overload noarch 1.37-511.fc41 fedora 71.5 KiB perl-overloading noarch 0.02-511.fc41 fedora 4.8 KiB perl-parent noarch 1:0.242-1.fc41 fedora 10.0 KiB perl-podlators noarch 1:6.0.2-2.fc41 fedora 317.5 KiB perl-vars noarch 1.05-511.fc41 fedora 3.9 KiB pyproject-rpm-macros noarch 1.15.0-1.fc41 fedora 109.0 KiB python-pip-wheel noarch 24.2-1.fc41 fedora 1.2 MiB python-rpm-macros noarch 3.13-3.fc41 fedora 22.1 KiB python3 x86_64 3.13.0~rc2-3.fc41 fedora 31.8 KiB python3-libs x86_64 3.13.0~rc2-3.fc41 fedora 40.3 MiB python3-packaging noarch 24.1-2.fc41 fedora 422.3 KiB python3-rpm-generators noarch 14-11.fc41 fedora 81.7 KiB python3-rpm-macros noarch 3.13-3.fc41 fedora 6.4 KiB tzdata noarch 2024a-9.fc41 fedora 1.7 MiB Transaction Summary: Installing: 101 packages Total size of inbound packages is 101 MiB. Need to download 101 MiB. After this operation, 329 MiB extra will be used (install 329 MiB, remove 0 B). [ 1/101] git-0:2.46.2-1.fc41.x86_64 100% | 173.0 KiB/s | 52.1 KiB | 00m00s [ 2/101] make-1:4.4.1-8.fc41.x86_64 100% | 1.0 MiB/s | 586.1 KiB | 00m01s [ 3/101] python3-setuptools-0:69.2.0-8 100% | 2.3 MiB/s | 1.6 MiB | 00m01s [ 4/101] python3-devel-0:3.13.0~rc2-3. 100% | 3.6 MiB/s | 402.6 KiB | 00m00s [ 5/101] git-core-0:2.46.2-1.fc41.x86_ 100% | 19.7 MiB/s | 4.8 MiB | 00m00s [ 6/101] git-core-doc-0:2.46.2-1.fc41. 100% | 11.0 MiB/s | 3.0 MiB | 00m00s [ 7/101] perl-File-Basename-0:2.86-511 100% | 234.6 KiB/s | 17.1 KiB | 00m00s [ 8/101] perl-File-Find-0:1.44-511.fc4 100% | 346.4 KiB/s | 25.3 KiB | 00m00s [ 9/101] perl-Getopt-Long-1:2.58-2.fc4 100% | 875.2 KiB/s | 63.9 KiB | 00m00s [ 10/101] perl-Git-0:2.46.2-1.fc41.noar 100% | 539.9 KiB/s | 38.9 KiB | 00m00s [ 11/101] gcc-c++-0:14.2.1-3.fc41.x86_6 100% | 16.9 MiB/s | 14.2 MiB | 00m01s [ 12/101] perl-IPC-Open3-0:1.22-511.fc4 100% | 298.9 KiB/s | 21.8 KiB | 00m00s [ 13/101] perl-PathTools-0:3.91-511.fc4 100% | 1.2 MiB/s | 87.4 KiB | 00m00s [ 14/101] perl-TermReadKey-0:2.38-23.fc 100% | 493.8 KiB/s | 35.6 KiB | 00m00s [ 15/101] perl-interpreter-4:5.40.0-511 100% | 990.4 KiB/s | 72.3 KiB | 00m00s [ 16/101] perl-lib-0:0.65-511.fc41.x86_ 100% | 207.0 KiB/s | 14.9 KiB | 00m00s [ 17/101] libmpc-0:1.3.1-6.fc41.x86_64 100% | 974.2 KiB/s | 71.1 KiB | 00m00s [ 18/101] expat-0:2.6.3-1.fc41.x86_64 100% | 1.4 MiB/s | 114.1 KiB | 00m00s [ 19/101] less-0:661-2.fc41.x86_64 100% | 2.3 MiB/s | 188.5 KiB | 00m00s [ 20/101] openssh-clients-0:9.8p1-3.fc4 100% | 8.6 MiB/s | 741.7 KiB | 00m00s [ 21/101] python3-libs-0:3.13.0~rc2-3.f 100% | 30.1 MiB/s | 9.1 MiB | 00m00s [ 22/101] perl-Carp-0:1.54-511.fc41.noa 100% | 395.8 KiB/s | 28.9 KiB | 00m00s [ 23/101] perl-Exporter-0:5.78-511.fc41 100% | 423.5 KiB/s | 30.9 KiB | 00m00s [ 24/101] perl-Pod-Usage-4:2.03-511.fc4 100% | 548.1 KiB/s | 40.0 KiB | 00m00s [ 25/101] perl-Text-ParseWords-0:3.31-5 100% | 230.2 KiB/s | 16.6 KiB | 00m00s [ 26/101] perl-base-0:2.27-511.fc41.noa 100% | 221.5 KiB/s | 16.2 KiB | 00m00s [ 27/101] perl-constant-0:1.33-512.fc41 100% | 319.9 KiB/s | 23.0 KiB | 00m00s [ 28/101] perl-overload-0:1.37-511.fc41 100% | 623.2 KiB/s | 45.5 KiB | 00m00s [ 29/101] perl-Error-1:0.17029-16.fc41. 100% | 556.2 KiB/s | 40.6 KiB | 00m00s [ 30/101] perl-Fcntl-0:1.18-511.fc41.x8 100% | 407.8 KiB/s | 29.8 KiB | 00m00s [ 31/101] perl-IO-0:1.55-511.fc41.x86_6 100% | 1.1 MiB/s | 81.8 KiB | 00m00s [ 32/101] perl-POSIX-0:2.20-511.fc41.x8 100% | 1.3 MiB/s | 97.0 KiB | 00m00s [ 33/101] perl-Symbol-0:1.09-511.fc41.n 100% | 196.6 KiB/s | 14.2 KiB | 00m00s [ 34/101] perl-Errno-0:1.38-511.fc41.x8 100% | 204.3 KiB/s | 14.9 KiB | 00m00s [ 35/101] perl-Scalar-List-Utils-5:1.65 100% | 987.8 KiB/s | 72.1 KiB | 00m00s [ 36/101] gcc-0:14.2.1-3.fc41.x86_64 100% | 39.3 MiB/s | 36.9 MiB | 00m01s [ 37/101] perl-libs-4:5.40.0-511.fc41.x 100% | 21.4 MiB/s | 2.3 MiB | 00m00s [ 38/101] perl-DynaLoader-0:1.56-511.fc 100% | 309.7 KiB/s | 26.0 KiB | 00m00s [ 39/101] perl-vars-0:1.05-511.fc41.noa 100% | 180.0 KiB/s | 13.0 KiB | 00m00s [ 40/101] libb2-0:0.98.1-12.fc41.x86_64 100% | 351.7 KiB/s | 25.7 KiB | 00m00s [ 41/101] mpdecimal-0:2.5.1-16.fc41.x86 100% | 1.2 MiB/s | 89.0 KiB | 00m00s [ 42/101] python-pip-wheel-0:24.2-1.fc4 100% | 12.5 MiB/s | 1.2 MiB | 00m00s [ 43/101] tzdata-0:2024a-9.fc41.noarch 100% | 8.0 MiB/s | 714.7 KiB | 00m00s [ 44/101] libedit-0:3.1-53.20240808cvs. 100% | 1.4 MiB/s | 105.6 KiB | 00m00s [ 45/101] libfido2-0:1.15.0-2.fc41.x86_ 100% | 1.3 MiB/s | 98.1 KiB | 00m00s [ 46/101] openssh-0:9.8p1-3.fc41.1.x86_ 100% | 5.2 MiB/s | 414.3 KiB | 00m00s [ 47/101] perl-Pod-Perldoc-0:3.28.01-51 100% | 1.1 MiB/s | 86.1 KiB | 00m00s [ 48/101] perl-podlators-1:6.0.2-2.fc41 100% | 1.7 MiB/s | 128.9 KiB | 00m00s [ 49/101] cpp-0:14.2.1-3.fc41.x86_64 100% | 27.6 MiB/s | 11.9 MiB | 00m00s [ 50/101] perl-mro-0:1.29-511.fc41.x86_ 100% | 415.1 KiB/s | 29.9 KiB | 00m00s [ 51/101] perl-overloading-0:0.02-511.f 100% | 178.7 KiB/s | 12.9 KiB | 00m00s [ 52/101] perl-File-stat-0:1.14-511.fc4 100% | 236.2 KiB/s | 17.0 KiB | 00m00s [ 53/101] perl-SelectSaver-0:1.02-511.f 100% | 162.3 KiB/s | 11.7 KiB | 00m00s [ 54/101] perl-Socket-4:2.038-511.fc41. 100% | 751.1 KiB/s | 54.8 KiB | 00m00s [ 55/101] perl-locale-0:1.12-511.fc41.n 100% | 188.6 KiB/s | 13.6 KiB | 00m00s [ 56/101] libcbor-0:0.11.0-2.fc41.x86_6 100% | 460.2 KiB/s | 33.1 KiB | 00m00s [ 57/101] groff-base-0:1.23.0-7.fc41.x8 100% | 12.2 MiB/s | 1.1 MiB | 00m00s [ 58/101] perl-File-Temp-1:0.231.100-51 100% | 809.8 KiB/s | 59.1 KiB | 00m00s [ 59/101] perl-HTTP-Tiny-0:0.088-512.fc 100% | 775.2 KiB/s | 55.8 KiB | 00m00s [ 60/101] perl-Pod-Simple-1:3.45-511.fc 100% | 2.8 MiB/s | 219.0 KiB | 00m00s [ 61/101] perl-parent-1:0.242-1.fc41.no 100% | 208.3 KiB/s | 15.0 KiB | 00m00s [ 62/101] perl-Term-ANSIColor-0:5.01-51 100% | 662.4 KiB/s | 47.7 KiB | 00m00s [ 63/101] perl-Term-Cap-0:1.18-511.fc41 100% | 306.5 KiB/s | 22.1 KiB | 00m00s [ 64/101] perl-Class-Struct-0:0.68-511. 100% | 305.8 KiB/s | 22.0 KiB | 00m00s [ 65/101] perl-File-Path-0:2.18-511.fc4 100% | 489.7 KiB/s | 35.3 KiB | 00m00s [ 66/101] perl-IO-Socket-SSL-0:2.089-1. 100% | 3.0 MiB/s | 231.2 KiB | 00m00s [ 67/101] perl-MIME-Base64-0:3.16-511.f 100% | 410.2 KiB/s | 29.9 KiB | 00m00s [ 68/101] perl-Mozilla-CA-0:20240730-1. 100% | 198.0 KiB/s | 14.3 KiB | 00m00s [ 69/101] perl-Net-SSLeay-0:1.94-7.fc41 100% | 4.6 MiB/s | 375.7 KiB | 00m00s [ 70/101] perl-Time-Local-2:1.350-511.f 100% | 454.3 KiB/s | 34.5 KiB | 00m00s [ 71/101] perl-Pod-Escapes-1:1.07-511.f 100% | 257.3 KiB/s | 19.8 KiB | 00m00s [ 72/101] perl-Text-Tabs+Wrap-0:2024.00 100% | 276.6 KiB/s | 21.9 KiB | 00m00s [ 73/101] perl-if-0:0.61.000-511.fc41.n 100% | 183.7 KiB/s | 14.0 KiB | 00m00s [ 74/101] ncurses-0:6.5-2.20240629.fc41 100% | 5.1 MiB/s | 423.8 KiB | 00m00s [ 75/101] perl-IO-Socket-IP-0:0.42-512. 100% | 572.9 KiB/s | 41.8 KiB | 00m00s [ 76/101] perl-URI-0:5.29-1.fc41.noarch 100% | 1.8 MiB/s | 137.6 KiB | 00m00s [ 77/101] perl-AutoLoader-0:5.74-511.fc 100% | 294.4 KiB/s | 21.2 KiB | 00m00s [ 78/101] perl-Data-Dumper-0:2.189-512. 100% | 731.7 KiB/s | 56.3 KiB | 00m00s [ 79/101] perl-MIME-Base32-0:1.303-21.f 100% | 265.9 KiB/s | 20.5 KiB | 00m00s [ 80/101] perl-libnet-0:3.15-512.fc41.n 100% | 1.6 MiB/s | 128.5 KiB | 00m00s [ 81/101] perl-B-0:1.89-511.fc41.x86_64 100% | 2.3 MiB/s | 176.3 KiB | 00m00s [ 82/101] perl-Digest-MD5-0:2.59-5.fc41 100% | 500.1 KiB/s | 36.0 KiB | 00m00s [ 83/101] perl-FileHandle-0:2.05-511.fc 100% | 214.7 KiB/s | 15.5 KiB | 00m00s [ 84/101] perl-Digest-0:1.20-511.fc41.n 100% | 341.1 KiB/s | 24.9 KiB | 00m00s [ 85/101] python3-0:3.13.0~rc2-3.fc41.x 100% | 384.7 KiB/s | 27.7 KiB | 00m00s [ 86/101] perl-Encode-4:3.21-511.fc41.x 100% | 11.1 MiB/s | 1.1 MiB | 00m00s [ 87/101] perl-Getopt-Std-0:1.14-511.fc 100% | 195.5 KiB/s | 15.6 KiB | 00m00s [ 88/101] perl-Storable-1:3.32-511.fc41 100% | 1.2 MiB/s | 98.4 KiB | 00m00s [ 89/101] glibc-devel-0:2.40-3.fc41.x86 100% | 1.7 MiB/s | 133.3 KiB | 00m00s [ 90/101] glibc-headers-x86-0:2.40-3.fc 100% | 6.7 MiB/s | 630.8 KiB | 00m00s [ 91/101] libstdc++-devel-0:14.2.1-3.fc 100% | 20.6 MiB/s | 2.8 MiB | 00m00s [ 92/101] libxcrypt-devel-0:4.4.36-7.fc 100% | 384.8 KiB/s | 28.9 KiB | 00m00s [ 93/101] annobin-plugin-gcc-0:12.69-1. 100% | 10.0 MiB/s | 971.0 KiB | 00m00s [ 94/101] kernel-headers-0:6.11.0-63.fc 100% | 15.3 MiB/s | 1.6 MiB | 00m00s [ 95/101] gcc-plugin-annobin-0:14.2.1-3 100% | 755.0 KiB/s | 55.1 KiB | 00m00s [ 96/101] annobin-docs-0:12.69-1.fc41.n 100% | 1.2 MiB/s | 91.8 KiB | 00m00s [ 97/101] pyproject-rpm-macros-0:1.15.0 100% | 603.7 KiB/s | 43.5 KiB | 00m00s [ 98/101] python-rpm-macros-0:3.13-3.fc 100% | 245.4 KiB/s | 17.7 KiB | 00m00s [ 99/101] python3-rpm-generators-0:14-1 100% | 401.4 KiB/s | 29.3 KiB | 00m00s [100/101] python3-rpm-macros-0:3.13-3.f 100% | 172.8 KiB/s | 12.4 KiB | 00m00s [101/101] python3-packaging-0:24.1-2.fc 100% | 1.6 MiB/s | 125.5 KiB | 00m00s -------------------------------------------------------------------------------- [101/101] Total 100% | 25.4 MiB/s | 100.9 MiB | 00m04s Running transaction [ 1/103] Verify package files 100% | 305.0 B/s | 101.0 B | 00m00s [ 2/103] Prepare transaction 100% | 971.0 B/s | 101.0 B | 00m00s [ 3/103] Installing python-rpm-macros- 100% | 22.3 MiB/s | 22.8 KiB | 00m00s [ 4/103] Installing libmpc-0:1.3.1-6.f 100% | 81.1 MiB/s | 166.2 KiB | 00m00s [ 5/103] Installing python3-rpm-macros 100% | 6.5 MiB/s | 6.7 KiB | 00m00s [ 6/103] Installing expat-0:2.6.3-1.fc 100% | 95.6 MiB/s | 293.6 KiB | 00m00s [ 7/103] Installing pyproject-rpm-macr 100% | 54.2 MiB/s | 111.0 KiB | 00m00s [ 8/103] Installing cpp-0:14.2.1-3.fc4 100% | 284.6 MiB/s | 35.0 MiB | 00m00s [ 9/103] Installing annobin-docs-0:12. 100% | 32.2 MiB/s | 98.8 KiB | 00m00s [ 10/103] Installing kernel-headers-0:6 100% | 110.9 MiB/s | 6.5 MiB | 00m00s [ 11/103] Installing glibc-headers-x86- 100% | 95.2 MiB/s | 2.3 MiB | 00m00s [ 12/103] Installing libxcrypt-devel-0: 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [ 13/103] Installing glibc-devel-0:2.40 100% | 9.4 MiB/s | 38.4 KiB | 00m00s [ 14/103] Installing libstdc++-devel-0: 100% | 213.1 MiB/s | 15.6 MiB | 00m00s [ 15/103] Installing ncurses-0:6.5-2.20 100% | 38.7 MiB/s | 633.9 KiB | 00m00s [ 16/103] Installing groff-base-0:1.23. 100% | 113.9 MiB/s | 3.9 MiB | 00m00s [ 17/103] Installing perl-Digest-0:1.20 100% | 36.2 MiB/s | 37.1 KiB | 00m00s [ 18/103] Installing perl-B-0:1.89-511. 100% | 122.4 MiB/s | 501.3 KiB | 00m00s [ 19/103] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 20/103] Installing perl-Digest-MD5-0: 100% | 60.2 MiB/s | 61.7 KiB | 00m00s [ 21/103] Installing perl-MIME-Base32-0 100% | 31.4 MiB/s | 32.2 KiB | 00m00s [ 22/103] Installing perl-Data-Dumper-0 100% | 55.5 MiB/s | 113.6 KiB | 00m00s [ 23/103] Installing perl-libnet-0:3.15 100% | 95.9 MiB/s | 294.7 KiB | 00m00s [ 24/103] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.5 KiB | 00m00s [ 25/103] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 26/103] Installing perl-URI-0:5.29-1. 100% | 51.5 MiB/s | 263.8 KiB | 00m00s [ 27/103] Installing perl-locale-0:1.12 100% | 0.0 B/s | 6.9 KiB | 00m00s [ 28/103] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 29/103] Installing perl-Mozilla-CA-0: 100% | 10.6 MiB/s | 10.8 KiB | 00m00s [ 30/103] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.6 KiB | 00m00s [ 31/103] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 32/103] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.9 KiB | 00m00s [ 33/103] Installing perl-if-0:0.61.000 100% | 6.1 MiB/s | 6.2 KiB | 00m00s [ 34/103] Installing perl-Net-SSLeay-0: 100% | 136.3 MiB/s | 1.4 MiB | 00m00s [ 35/103] Installing perl-IO-Socket-SSL 100% | 172.7 MiB/s | 707.4 KiB | 00m00s [ 36/103] Installing perl-POSIX-0:2.20- 100% | 115.4 MiB/s | 236.4 KiB | 00m00s [ 37/103] Installing perl-Term-ANSIColo 100% | 96.9 MiB/s | 99.2 KiB | 00m00s [ 38/103] Installing perl-Term-Cap-0:1. 100% | 29.9 MiB/s | 30.6 KiB | 00m00s [ 39/103] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 40/103] Installing perl-Class-Struct- 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 41/103] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.1 KiB | 00m00s [ 42/103] Installing perl-Pod-Simple-1: 100% | 139.3 MiB/s | 570.5 KiB | 00m00s [ 43/103] Installing perl-HTTP-Tiny-0:0 100% | 75.3 MiB/s | 154.2 KiB | 00m00s [ 44/103] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 45/103] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 46/103] Installing perl-Socket-4:2.03 100% | 61.6 MiB/s | 126.1 KiB | 00m00s [ 47/103] Installing perl-File-stat-0:1 100% | 12.7 MiB/s | 13.1 KiB | 00m00s [ 48/103] Installing perl-podlators-1:6 100% | 157.0 MiB/s | 321.4 KiB | 00m00s [ 49/103] Installing perl-Pod-Perldoc-0 100% | 55.1 MiB/s | 169.3 KiB | 00m00s [ 50/103] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.6 KiB | 00m00s [ 51/103] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [ 52/103] Installing perl-Fcntl-0:1.18- 100% | 48.9 MiB/s | 50.1 KiB | 00m00s [ 53/103] Installing perl-mro-0:1.29-51 100% | 45.6 MiB/s | 46.7 KiB | 00m00s [ 54/103] Installing perl-overloading-0 100% | 5.4 MiB/s | 5.5 KiB | 00m00s [ 55/103] Installing perl-IO-0:1.55-511 100% | 75.8 MiB/s | 155.3 KiB | 00m00s [ 56/103] Installing perl-Pod-Usage-4:2 100% | 84.3 MiB/s | 86.3 KiB | 00m00s [ 57/103] Installing perl-constant-0:1. 100% | 13.4 MiB/s | 27.4 KiB | 00m00s [ 58/103] Installing perl-Errno-0:1.38- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 59/103] Installing perl-Scalar-List-U 100% | 73.1 MiB/s | 149.7 KiB | 00m00s [ 60/103] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 61/103] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [ 62/103] Installing perl-parent-1:0.24 100% | 10.5 MiB/s | 10.7 KiB | 00m00s [ 63/103] Installing perl-MIME-Base64-0 100% | 47.2 MiB/s | 48.4 KiB | 00m00s [ 64/103] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.7 KiB | 00m00s [ 65/103] Installing perl-Storable-1:3. 100% | 114.3 MiB/s | 234.0 KiB | 00m00s [ 66/103] Installing perl-Getopt-Long-1 100% | 71.9 MiB/s | 147.2 KiB | 00m00s [ 67/103] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 68/103] Installing perl-Carp-0:1.54-5 100% | 46.6 MiB/s | 47.7 KiB | 00m00s [ 69/103] Installing perl-Exporter-0:5. 100% | 54.3 MiB/s | 55.6 KiB | 00m00s [ 70/103] Installing perl-PathTools-0:3 100% | 60.1 MiB/s | 184.6 KiB | 00m00s [ 71/103] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [ 72/103] Installing perl-Encode-4:3.21 100% | 224.7 MiB/s | 4.7 MiB | 00m00s [ 73/103] Installing perl-libs-4:5.40.0 100% | 150.9 MiB/s | 10.0 MiB | 00m00s [ 74/103] Installing perl-interpreter-4 100% | 121.1 MiB/s | 124.0 KiB | 00m00s [ 75/103] Installing perl-File-Find-0:1 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [ 76/103] Installing perl-TermReadKey-0 100% | 32.4 MiB/s | 66.3 KiB | 00m00s [ 77/103] Installing perl-lib-0:0.65-51 100% | 8.7 MiB/s | 8.9 KiB | 00m00s [ 78/103] Installing perl-Error-1:0.170 100% | 39.3 MiB/s | 80.5 KiB | 00m00s [ 79/103] Installing libcbor-0:0.11.0-2 100% | 73.5 MiB/s | 75.3 KiB | 00m00s [ 80/103] Installing libfido2-0:1.15.0- 100% | 117.1 MiB/s | 239.7 KiB | 00m00s [ 81/103] Installing openssh-0:9.8p1-3. 100% | 254.1 MiB/s | 1.8 MiB | 00m00s [ 82/103] Installing libedit-0:3.1-53.2 100% | 120.0 MiB/s | 245.8 KiB | 00m00s [ 83/103] Installing openssh-clients-0: 100% | 112.9 MiB/s | 2.6 MiB | 00m00s [ 84/103] Installing tzdata-0:2024a-9.f 100% | 26.6 MiB/s | 1.9 MiB | 00m00s [ 85/103] Installing python-pip-wheel-0 100% | 413.9 MiB/s | 1.2 MiB | 00m00s [ 86/103] Installing mpdecimal-0:2.5.1- 100% | 201.2 MiB/s | 206.0 KiB | 00m00s [ 87/103] Installing libb2-0:0.98.1-12. 100% | 7.0 MiB/s | 43.3 KiB | 00m00s [ 88/103] Installing python3-libs-0:3.1 100% | 197.6 MiB/s | 40.7 MiB | 00m00s [ 89/103] Installing python3-0:3.13.0~r 100% | 32.8 MiB/s | 33.6 KiB | 00m00s [ 90/103] Installing python3-packaging- 100% | 105.8 MiB/s | 433.2 KiB | 00m00s [ 91/103] Installing python3-rpm-genera 100% | 81.0 MiB/s | 82.9 KiB | 00m00s [ 92/103] Installing less-0:661-2.fc41. 100% | 133.0 MiB/s | 408.6 KiB | 00m00s [ 93/103] Installing git-core-0:2.46.2- 100% | 305.8 MiB/s | 22.3 MiB | 00m00s [ 94/103] Installing git-core-doc-0:2.4 100% | 194.6 MiB/s | 17.3 MiB | 00m00s [ 95/103] Installing perl-Git-0:2.46.2- 100% | 63.6 MiB/s | 65.1 KiB | 00m00s [ 96/103] Installing git-0:2.46.2-1.fc4 100% | 42.7 MiB/s | 87.4 KiB | 00m00s [ 97/103] Installing make-1:4.4.1-8.fc4 100% | 163.7 MiB/s | 1.8 MiB | 00m00s [ 98/103] Installing gcc-0:14.2.1-3.fc4 100% | 304.3 MiB/s | 104.4 MiB | 00m00s [ 99/103] Installing gcc-c++-0:14.2.1-3 100% | 287.0 MiB/s | 38.2 MiB | 00m00s [100/103] Installing annobin-plugin-gcc 100% | 37.1 MiB/s | 986.7 KiB | 00m00s [101/103] Installing gcc-plugin-annobin 100% | 2.4 MiB/s | 62.6 KiB | 00m00s [102/103] Installing python3-devel-0:3. 100% | 90.7 MiB/s | 1.8 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [103/103] Installing python3-setuptools 100% | 29.4 MiB/s | 7.3 MiB | 00m00s Complete! Finish: build setup for cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Start: rpmbuild cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1640217600 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.VqzWrQ + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + test -d /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/rm -rf /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.TdpU5e + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + cd /builddir/build/BUILD/cocotb-1.9.1-build + rm -rf cocotb + /usr/bin/mkdir -p cocotb + cd cocotb + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + git clone --depth 1 -n -b master https://github.com/cocotb/cocotb.git . Cloning into '.'... + git fetch --depth 1 origin 7bcc8065e96930fc226463e3dcb0df3cd0b524db From https://github.com/cocotb/cocotb * branch 7bcc8065e96930fc226463e3dcb0df3cd0b524db -> FETCH_HEAD + git reset --hard 7bcc8065e96930fc226463e3dcb0df3cd0b524db HEAD is now at 7bcc806 Prevent warnings in Xcelium when getting bounds of non-indexable signals + git --no-pager log --format=fuller commit 7bcc8065e96930fc226463e3dcb0df3cd0b524db Author: Kaleb Barrett AuthorDate: Tue Oct 1 12:35:53 2024 -0400 Commit: Kaleb Barrett CommitDate: Wed Oct 2 09:33:18 2024 -0600 Prevent warnings in Xcelium when getting bounds of non-indexable signals + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.jEMKdC + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + sed -i /-rpath/d cocotb_build_libs.py + sed -i 's|"-static-libstdc++"||g' cocotb_build_libs.py + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -sP' running build running build_py creating build creating build/lib.linux-x86_64-cpython-313 creating build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_ANSI.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/__init__.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_decorators.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_deprecation.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_exceptions.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_outcomes.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_profiling.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_py_compat.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_scheduler.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_sim_versions.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_utils.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_write_scheduler.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_xunit_reporter.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/clock.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/handle.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/logging.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/queue.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/regression.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/result.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/task.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/triggers.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/utils.py -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/_version.py -> build/lib.linux-x86_64-cpython-313/cocotb creating build/lib.linux-x86_64-cpython-313/cocotb_tools copying src/cocotb_tools/__init__.py -> build/lib.linux-x86_64-cpython-313/cocotb_tools copying src/cocotb_tools/combine_results.py -> build/lib.linux-x86_64-cpython-313/cocotb_tools copying src/cocotb_tools/config.py -> build/lib.linux-x86_64-cpython-313/cocotb_tools copying src/cocotb_tools/ipython_support.py -> build/lib.linux-x86_64-cpython-313/cocotb_tools copying src/cocotb_tools/runner.py -> build/lib.linux-x86_64-cpython-313/cocotb_tools creating build/lib.linux-x86_64-cpython-313/pygpi copying src/pygpi/__init__.py -> build/lib.linux-x86_64-cpython-313/pygpi copying src/pygpi/entry.py -> build/lib.linux-x86_64-cpython-313/pygpi creating build/lib.linux-x86_64-cpython-313/cocotb/_vendor copying src/cocotb/_vendor/__init__.py -> build/lib.linux-x86_64-cpython-313/cocotb/_vendor copying src/cocotb/_vendor/distutils_version.py -> build/lib.linux-x86_64-cpython-313/cocotb/_vendor creating build/lib.linux-x86_64-cpython-313/cocotb/types copying src/cocotb/types/__init__.py -> build/lib.linux-x86_64-cpython-313/cocotb/types copying src/cocotb/types/array.py -> build/lib.linux-x86_64-cpython-313/cocotb/types copying src/cocotb/types/logic.py -> build/lib.linux-x86_64-cpython-313/cocotb/types copying src/cocotb/types/logic_array.py -> build/lib.linux-x86_64-cpython-313/cocotb/types copying src/cocotb/types/range.py -> build/lib.linux-x86_64-cpython-313/cocotb/types copying src/cocotb/simulator.pyi -> build/lib.linux-x86_64-cpython-313/cocotb copying src/cocotb/py.typed -> build/lib.linux-x86_64-cpython-313/cocotb creating build/lib.linux-x86_64-cpython-313/cocotb/share creating build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/cocotb_utils.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/embed.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/exports.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/gpi.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/gpi_logging.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/py_gpi_logging.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include copying src/cocotb/share/include/vpi_user_ext.h -> build/lib.linux-x86_64-cpython-313/cocotb/share/include creating build/lib.linux-x86_64-cpython-313/cocotb/share/def copying src/cocotb/share/def/.gitignore -> build/lib.linux-x86_64-cpython-313/cocotb/share/def copying src/cocotb/share/def/README.md -> build/lib.linux-x86_64-cpython-313/cocotb/share/def copying src/cocotb/share/def/aldec.def -> build/lib.linux-x86_64-cpython-313/cocotb/share/def copying src/cocotb/share/def/ghdl.def -> build/lib.linux-x86_64-cpython-313/cocotb/share/def copying src/cocotb/share/def/icarus.def -> build/lib.linux-x86_64-cpython-313/cocotb/share/def copying src/cocotb/share/def/modelsim.def -> build/lib.linux-x86_64-cpython-313/cocotb/share/def creating build/lib.linux-x86_64-cpython-313/cocotb/share/lib creating build/lib.linux-x86_64-cpython-313/cocotb/share/lib/verilator copying src/cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-x86_64-cpython-313/cocotb/share/lib/verilator copying src/cocotb_tools/py.typed -> build/lib.linux-x86_64-cpython-313/cocotb_tools creating build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.deprecations -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.inc -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles copying src/cocotb_tools/makefiles/Makefile.sim -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles creating build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.activehdl -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.cvc -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ghdl -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.icarus -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.ius -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.modelsim -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.nvc -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.questa -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.riviera -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.vcs -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.verilator -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/cocotb_tools/makefiles/simulators/Makefile.xcelium -> build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators copying src/pygpi/py.typed -> build/lib.linux-x86_64-cpython-313/pygpi running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-x86_64-cpython-313 creating build/temp.linux-x86_64-cpython-313/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto creating build/lib.linux-x86_64-cpython-313/cocotb/libs g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpilog/src/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libgpilog.so -flto building 'cocotb/libs/libpygpilog' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DPYGPILOG_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/py_gpi_log/py_gpi_logging.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libpygpilog/src/cocotb/share/lib/py_gpi_log/py_gpi_logging.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libpygpilog.so -flto building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBUTILS_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils/src/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -ldl -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils.so -flto building 'cocotb/libs/libembed' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTB_EMBED_EXPORTS= -DPYTHON_LIB=libpython3.13.so -D__STDC_FORMAT_MACROS= -DEMBED_IMPL_LIB=libcocotb.so -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/embed/embed.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libembed/src/cocotb/share/lib/embed/embed.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libembed.so -flto building 'cocotb/libs/libgpi' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DGPI_EXPORTS= -DLIB_EXT=so -DSINGLETON_HANDLES= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libgpi/src/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lembed -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libgpi.so -flto building 'cocotb/libs/libcocotb' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib/embed gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void set_program_name_in_venv()’: src/cocotb/share/lib/embed/gpi_embed.cpp:110:22: warning: ‘void Py_SetProgramName(const wchar_t*)’ is deprecated [-Wdeprecated-declarations] 110 | Py_SetProgramName(venv_path_w); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ In file included from /usr/include/python3.13/Python.h:119, from src/cocotb/share/lib/embed/gpi_embed.cpp:32: /usr/include/python3.13/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ src/cocotb/share/lib/embed/gpi_embed.cpp: In function ‘void _embed_init_python()’: src/cocotb/share/lib/embed/gpi_embed.cpp:147:20: warning: ‘void PySys_SetArgvEx(int, wchar_t**, int)’ is deprecated [-Wdeprecated-declarations] 147 | PySys_SetArgvEx(1, argv, 0); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~ In file included from /usr/include/python3.13/Python.h:121: /usr/include/python3.13/sysmodule.h:11:38: note: declared here 11 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) PySys_SetArgvEx(int, wchar_t **, int); | ^~~~~~~~~~~~~~~ g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotb/src/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpilog -lcocotbutils -lpygpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotb.so -flto building 'cocotb/simulator' extension creating build/temp.linux-x86_64-cpython-313/cocotb/simulator creating build/temp.linux-x86_64-cpython-313/cocotb/simulator/src creating build/temp.linux-x86_64-cpython-313/cocotb/simulator/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/simulator/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/simulator/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/simulator/src/cocotb/share/lib/simulator gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/simulator/src/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lcocotbutils -lgpilog -lgpi -lpygpilog -o build/lib.linux-x86_64-cpython-313/cocotb/simulator.cpython-313-x86_64-linux-gnu.so -flto building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DICARUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus.vpl -flto building 'cocotb/libs/libcocotbvpi_modelsim' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim.so -flto building 'cocotb/libs/libcocotbvhpi_modelsim' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DMODELSIM= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim.so -flto building 'cocotb/libs/libcocotbfli_modelsim' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/fli/FliCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/fli/FliImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBFLI_EXPORTS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/fli/FliObjHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim/src/cocotb/share/lib/fli/FliObjHdl.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim.so -flto building 'cocotb/libs/libcocotbvpi_ghdl' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DGHDL= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl.so -flto building 'cocotb/libs/libcocotbvpi_ius' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius.so -flto building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DIUS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius.so -flto building 'cocotb/libs/libcocotbvpi_vcs' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVCS= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs.so -flto building 'cocotb/libs/libcocotbvpi_aldec' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec.so -flto building 'cocotb/libs/libcocotbvhpi_aldec' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DALDEC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec.so -flto building 'cocotb/libs/libcocotbvpi_verilator' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiIterator.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiObj.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVPI_EXPORTS= -DVPI_CHECKING=1 -DVERILATOR= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vpi/VpiSignal.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiImpl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiIterator.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiObj.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator/src/cocotb/share/lib/vpi/VpiSignal.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator.so -flto building 'cocotb/libs/libcocotbvhpi_nvc' extension creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib creating build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto gcc -fno-strict-overflow -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -fexceptions -fcf-protection -fexceptions -fcf-protection -fexceptions -fcf-protection -O3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -DCOCOTBVHPI_EXPORTS= -DVHPI_CHECKING=1 -DNVC= -D__STDC_FORMAT_MACROS= -Isrc/cocotb/share/include -Isrc/cocotb -I/usr/include/python3.13 -c src/cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -fvisibility=hidden -fvisibility-inlines-hidden -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wno-missing-field-initializers -Wnon-virtual-dtor -Woverloaded-virtual -flto g++ -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc/src/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-x86_64-cpython-313/cocotb/libs -L/usr/lib64 -lgpi -lgpilog -o build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc.so -flto + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.QC0Sof + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + '[' /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT ++ dirname /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build + mkdir /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd cocotb + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT --prefix /usr running install /usr/lib/python3.13/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. Follow the current Python packaging guidelines when building Python RPM packages. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html and https://docs.fedoraproject.org/en-US/packaging-guidelines/Python/ for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64 creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13 creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_ANSI.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_decorators.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_deprecation.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_exceptions.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_outcomes.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_profiling.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_py_compat.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_scheduler.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_sim_versions.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_utils.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_write_scheduler.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_xunit_reporter.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/clock.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/handle.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/logging.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/queue.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/regression.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/result.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/task.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/triggers.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/utils.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/_version.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor copying build/lib.linux-x86_64-cpython-313/cocotb/_vendor/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor copying build/lib.linux-x86_64-cpython-313/cocotb/_vendor/distutils_version.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-313/cocotb/types/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-313/cocotb/types/array.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-313/cocotb/types/logic.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-313/cocotb/types/logic_array.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-313/cocotb/types/range.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types copying build/lib.linux-x86_64-cpython-313/cocotb/simulator.pyi -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb copying build/lib.linux-x86_64-cpython-313/cocotb/py.typed -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/embed.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/exports.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/gpi.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/py_gpi_logging.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include copying build/lib.linux-x86_64-cpython-313/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/include creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-313/cocotb/share/def/.gitignore -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-313/cocotb/share/def/README.md -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-313/cocotb/share/def/aldec.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-313/cocotb/share/def/ghdl.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-313/cocotb/share/def/icarus.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def copying build/lib.linux-x86_64-cpython-313/cocotb/share/def/modelsim.def -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/def creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/lib creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/lib/verilator copying build/lib.linux-x86_64-cpython-313/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/share/lib/verilator creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libgpilog.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libpygpilog.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libembed.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libgpi.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotb.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_modelsim.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbfli_modelsim.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/libs/libcocotbvhpi_nvc.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs copying build/lib.linux-x86_64-cpython-313/cocotb/simulator.cpython-313-x86_64-linux-gnu.so -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-313/cocotb_tools/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-313/cocotb_tools/combine_results.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-313/cocotb_tools/config.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-313/cocotb_tools/ipython_support.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-313/cocotb_tools/runner.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools copying build/lib.linux-x86_64-cpython-313/cocotb_tools/py.typed -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/Makefile.deprecations -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/Makefile.inc -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/Makefile.sim -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.ius -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.nvc -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.questa -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators copying build/lib.linux-x86_64-cpython-313/cocotb_tools/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/makefiles/simulators creating /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi copying build/lib.linux-x86_64-cpython-313/pygpi/__init__.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi copying build/lib.linux-x86_64-cpython-313/pygpi/entry.py -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi copying build/lib.linux-x86_64-cpython-313/pygpi/py.typed -> /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_ANSI.py to _ANSI.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_decorators.py to _decorators.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_deprecation.py to _deprecation.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_exceptions.py to _exceptions.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_outcomes.py to _outcomes.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_profiling.py to _profiling.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_py_compat.py to _py_compat.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_scheduler.py to _scheduler.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_sim_versions.py to _sim_versions.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_utils.py to _utils.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_write_scheduler.py to _write_scheduler.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_xunit_reporter.py to _xunit_reporter.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/clock.py to clock.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/handle.py to handle.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/logging.py to logging.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/queue.py to queue.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/regression.py to regression.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/result.py to result.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/task.py to task.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/triggers.py to triggers.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/utils.py to utils.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_version.py to _version.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/distutils_version.py to distutils_version.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/array.py to array.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/logic.py to logic.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/logic_array.py to logic_array.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/range.py to range.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/combine_results.py to combine_results.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/config.py to config.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/ipython_support.py to ipython_support.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/runner.py to runner.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/__init__.py to __init__.cpython-313.pyc byte-compiling /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/entry.py to entry.cpython-313.pyc writing byte-compilation script '/tmp/tmpuzvv0qqf.py' /usr/bin/python3 /tmp/tmpuzvv0qqf.py removing /tmp/tmpuzvv0qqf.py running install_egg_info running egg_info creating src/cocotb.egg-info writing src/cocotb.egg-info/PKG-INFO writing dependency_links to src/cocotb.egg-info/dependency_links.txt writing entry points to src/cocotb.egg-info/entry_points.txt writing requirements to src/cocotb.egg-info/requires.txt writing top-level names to src/cocotb.egg-info/top_level.txt writing manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest file 'src/cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'src/cocotb.egg-info/SOURCES.txt' Copying src/cocotb.egg-info to /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb-2.0.0.dev0+7bcc806-py3.13.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/bin + rm -rfv /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/bin/__pycache__ + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 1.9.1-20241002.0.git7bcc8065.fc41 --unique-debug-suffix -1.9.1-20241002.0.git7bcc8065.fc41.x86_64 --unique-debug-src-base cocotb-1.9.1-20241002.0.git7bcc8065.fc41.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb-1.9.1-build/cocotb find-debuginfo: starting Extracting debug info from 19 files DWARF-compressing 19 files sepdebugcrcfix: Updated 19 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/cocotb-1.9.1-20241002.0.git7bcc8065.fc41.x86_64 1577 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 Bytecompiling .py files below /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13 using python3.13 Bytecompiling .py files below /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13 using python3.13 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j2 /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__pycache__/distutils_version.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__pycache__/__init__.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/_vendor/__pycache__/distutils_version.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/__init__.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/array.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/logic.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/range.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/types/__pycache__/logic_array.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_ANSI.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_exceptions.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/__init__.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_outcomes.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_profiling.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_py_compat.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_deprecation.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_decorators.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_version.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_scheduler.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_scheduler.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_write_scheduler.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_sim_versions.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_xunit_reporter.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/clock.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_utils.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/_utils.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/queue.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/handle.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/regression.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/result.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/task.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/regression.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/triggers.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/utils.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/__init__.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/combine_results.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/combine_results.cpython-313.opt-1.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/config.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/ipython_support.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/runner.cpython-313.pyc: replacing with normalized version /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/__pycache__/logging.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/__pycache__/__init__.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/pygpi/__pycache__/entry.cpython-313.pyc: rewriting with normalized contents /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb_tools/__pycache__/runner.cpython-313.opt-1.pyc: replacing with normalized version Scanned 56 directories and 225 files, processed 43 inodes, 43 modified (12 replaced + 31 rewritten), 0 unsupported format, 0 errors Reading /builddir/build/BUILD/cocotb-1.9.1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: cocotb-python3-1.9.1-20241002.0.git7bcc8065.fc41.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.saj2Zk + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + cd cocotb + DOCDIR=/builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb-1.9.1-build/cocotb/README.md /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/doc/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.sYIegG + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + cd cocotb + LICENSEDIR=/builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + cp -pr /builddir/build/BUILD/cocotb-1.9.1-build/cocotb/LICENSE /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/share/licenses/cocotb-python3 + RPM_EC=0 ++ jobs -p + exit 0 warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so Provides: cocotb cocotb-python3 = 1.9.1-20241002.0.git7bcc8065.fc41 cocotb-python3(x86-64) = 1.9.1-20241002.0.git7bcc8065.fc41 libcocotb.so()(64bit) libcocotbfli_modelsim.so()(64bit) libcocotbutils.so()(64bit) libcocotbvhpi_aldec.so()(64bit) libcocotbvhpi_ius.so()(64bit) libcocotbvhpi_modelsim.so()(64bit) libcocotbvhpi_nvc.so()(64bit) libcocotbvpi_aldec.so()(64bit) libcocotbvpi_ghdl.so()(64bit) libcocotbvpi_ius.so()(64bit) libcocotbvpi_modelsim.so()(64bit) libcocotbvpi_vcs.so()(64bit) libcocotbvpi_verilator.so()(64bit) libembed.so()(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) python3.13dist(cocotb) = 2~~dev0 python3dist(cocotb) = 2~~dev0 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libcocotbutils.so()(64bit) libembed.so()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgpi.so()(64bit) libgpilog.so()(64bit) libpygpilog.so()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) python(abi) = 3.13 python3.13dist(find-libpython) rtld(GNU_HASH) Processing files: cocotb-debugsource-1.9.1-20241002.0.git7bcc8065.fc41.x86_64 Provides: cocotb-debugsource = 1.9.1-20241002.0.git7bcc8065.fc41 cocotb-debugsource(x86-64) = 1.9.1-20241002.0.git7bcc8065.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: cocotb-python3-debuginfo-1.9.1-20241002.0.git7bcc8065.fc41.x86_64 warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug warning: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug Provides: cocotb-python3-debuginfo = 1.9.1-20241002.0.git7bcc8065.fc41 cocotb-python3-debuginfo(x86-64) = 1.9.1-20241002.0.git7bcc8065.fc41 debuginfo(build-id) = 0db8576da0f5199687041ad0f5b560ffa8f0e862 debuginfo(build-id) = 0dfe9cdb4623cba558f9a3e284c37dbf626572a5 debuginfo(build-id) = 1506f4761ae27b88b676db444057483803f63484 debuginfo(build-id) = 2053fd5e930f7670ea1037c467816dbb69f9fab1 debuginfo(build-id) = 2e4527a21c3c90bc2348493e8853555db313b2ea debuginfo(build-id) = 3aa4649e3db5719d961a1f0d36ccb34fff38a58d debuginfo(build-id) = 473b0740dda1a597620073850cd2a9c4c4237b1b debuginfo(build-id) = 4dd6c999b309ba1cae9a72cc4ced97a58ed97095 debuginfo(build-id) = 61d426c49ab45fb5e9c42283f3de7246eff0057e debuginfo(build-id) = ab8f8e8a20ffd536974b22d2b6754112a67161bc debuginfo(build-id) = b72cf09665ebce5418d16a3571d8a446222daa0f debuginfo(build-id) = d9c104342671b4fb7ec49fe384a9345c732af088 debuginfo(build-id) = e1f9701f6717f4d04d9e62b5a13c78f6d9daba36 debuginfo(build-id) = eb7cc7e5739712929c800b74d54ba7499d53b5dc debuginfo(build-id) = f0cc8dddac78f2665265615fdc8c287dd9fdf58c debuginfo(build-id) = nilnil libcocotb.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbfli_modelsim.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbutils.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvhpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvhpi_ius.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvhpi_modelsim.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvhpi_nvc.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvpi_ghdl.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvpi_ius.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvpi_modelsim.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvpi_vcs.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libcocotbvpi_verilator.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libembed.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libgpi.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libgpilog.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) libpygpilog.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug()(64bit) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: cocotb-debugsource(x86-64) = 1.9.1-20241002.0.git7bcc8065.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT Wrote: /builddir/build/RPMS/cocotb-python3-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/cocotb-debugsource-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/cocotb-python3-debuginfo-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.QQyNEQ + umask 022 + cd /builddir/build/BUILD/cocotb-1.9.1-build + test -d /builddir/build/BUILD/cocotb-1.9.1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/cocotb-1.9.1-build + rm -rf /builddir/build/BUILD/cocotb-1.9.1-build + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_ghdl.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_vcs.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug Duplicate build-ids /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_aldec.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug and /builddir/build/BUILD/cocotb-1.9.1-build/BUILDROOT/usr/lib/debug/usr/lib64/python3.13/site-packages/cocotb/libs/libcocotbvpi_verilator.so-1.9.1-20241002.0.git7bcc8065.fc41.x86_64.debug Finish: rpmbuild cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm Finish: build phase for cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-41-x86_64-1727918702.392579/root/var/log/dnf5.log INFO: Done(/var/lib/copr-rpmbuild/results/cocotb-1.9.1-20241002.0.git7bcc8065.fc41.src.rpm) Config(child) 1 minutes 24 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "cocotb", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc41", "arch": "src" }, { "name": "cocotb-debugsource", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc41", "arch": "x86_64" }, { "name": "cocotb-python3-debuginfo", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc41", "arch": "x86_64" }, { "name": "cocotb-python3", "epoch": null, "version": "1.9.1", "release": "20241002.0.git7bcc8065.fc41", "arch": "x86_64" } ] } RPMResults finished